bab ii ta cpld (ok)

Upload: ando-warisman

Post on 14-Jul-2015

65 views

Category:

Documents


0 download

TRANSCRIPT

BAB II TINJAUAN PUSTAKA

2.1 Kata Sandi Sebagai Sistem Keamanan

Kata sandi (Inggris: password atau passphrase) adalah kumpulan karakter atau string yang digunakan oleh pengguna jaringan atau sebuah sistem operasi yang mendukung banyak pengguna (multiuser) untuk memverifikasi identitas dirinya kepada sistem keamanan yang dimiliki oleh jaringan atau sistem tersebut. Sistem keamanan akan membandingkan kode-kode yang dimasukkan oleh pengguna (yang terdiri atas nama pengguna/user name dan password) dengan daftar atau basis data yang disimpan oleh sistem keamanan sistem atau jaringan tersebut (dengan menggunakan metode autentikasi tertentu, seperti halnya kriptografi, hash atau lainnya). Jika kode yang dibandingkan cocok, maka sistem keamanan akan mengizinkan akses kepada pengguna tersebut terhadap layanan dan sumber daya yang terdapat di dalam jaringan atau sistem tersebut, sesuai dengan level keamanan yang dimiliki oleh pengguna tersebut. Idealnya, katanya kunci merupakan gabungan dari karakter teks alfabet (A-Z, a-z), angka (0-9), tanda baca (!?,.=-) atau karakter lainnya yang tidak dapat (atau susah) ditebak oleh para intruder sistem atau jaringan. Meskipun begitu, banyak pengguna yang menggunakan kata sandi yang berupa kata-kata yang mudah diingat, seperti halnya yang terdapat dalam kamus, ensiklopedia (seperti nama tokoh, dan lainnya), atau yang mudah ditebak oleh intruder sistem.

7

2.2 Sistem Digital Perkembangan teknologi dalam bidang elektronika sangat pesat, kalau beberapa tahun lalu rangkaian elektronika menggunakan komponen tabung hampa, komponen diskrit, seperti dioda, transistor, maka sekarang sudah lain, yaitu menggunakan sistem digital, dan dalam peralatan digital penyajian data atau informasi merupakan susunan angka-angka yang dinyatakan dalam bentuk digital (rangkaian logika). Sistem Digital adalah sistem elektronika yang setiap rangkaian penyusunnya melakukan pengolahan sinyal diskrit. Sistem digital dari beberapa rangkaian digital/logika, komponen elektronika, dan elemen gerbang logika untuk suatu tujuan pengalihan tenaga/energi. Gerbang logika dibagi menjadi 2 bagian, yaitu: 1. Gerbang logika dasar

Tabel 1. Gerbang logika dasar

8

2. Gerbang logika turunan

Tabel 2. Gerbang Logika Turunan

2.3 Programmable Logic Devices (PLD) Programmable Logic Devices (PLDs) diperkenalkan pada pertengahan tahun 1970-an. Konsep dasar dari PLD sendiri adalah bagaimana membuat sebuah sirkuit logika kombinasional yang bersifat programmable (mampu diprogram). Perlu diingat, sirkuit kombinasional merupakan sirkuit yang di dalamnya tidak memiliki elemen memori. Hal ini berbeda dengan microprocessor yang mampu menjalankan sebuah program, namun nantinya mempengaruhi perangkat keras yang telah sudah ditentukan terlebih dahulu. Sedangkan kemampuan

pemrograman PLD sudah direncanakan pada tingkat perangkat keras. Dengan kata lain, PLD adalah sebuah chip yang memiliki tujuan utama agar nantinya mampu mengatur perangkat keras sedemikain rupa sesuai dengan speseifikasinya.

9

Gambar 1. Programmable Logic Devices (PLD)

Generasi PLD yang pertama dikenal dengan nama PAL (Programmable Array Logic) atau PLA (Progrramable Logic Array), tergantung pada bentuk skema pemrogramannya. PAL/PLA biasanya hanya menggunakan gerbang logika (tidak ada flip flop), serta hanya memperbolehkan implementasi dari sebuah sirkuit kombinasional saja. Untuk menyelesaikan masalah ini, maka dibuatlah PLD yang telah memiliki sebuah flip-flop pada tiap output sirkuitnya. Dengan demikian, fungsi sekuensial sederhana dapat diimplementasikan dengan baik (bukan lagi hanya fungsi kombinasional saja). Selanjutnya, pada awal tahun 1980-an, tambahan untaian logika ditambahkan pada tiap-tiap output PLD. Output baru tersebut diberi nama Macrocell yang diisi flip-flop, gerbang logika dan multiplekser. Selain itu, Macrocell sendiri juga bersifat programmable. Apalagi pada cell tersebut

10

disediakan sinyal feedback yang berasal dari output sirkuit ke progrramable array. Sinyal tersebut nantinya akan memberikan PLD tingkat fleksibilitas yang lebih tinggi. Struktur baru dari PLD inilah yang kemudian secara umum diberi nama PAL (GAL). Arsitektur yang serupa juga dikanal dengan sebutan PALCE (PAL CMOS Electrically erasable/programmable). Semuanya (baik PAL, PLA, PLD, maupun GAL/PALCE) secara umum kini lazim disebut sebagai SPLDs (Simple PLDs).

Gambar 2. Macrocell

2.4 Complex Programmable Logic Devices CPLD Berikutnya, beberapa perlengkapan GAL dibuat pada chip yang sama dengan menggunakan penjaluran (routing) yang lebih canggih, menggunakan teknologi silikon yang lebih rumit serta beberapa tambahan yang menjadi ciri khas, seperti dukungan JTAG, dan antarmuka untuk beberapa standar logika. Pendekatan ini kemudian dikenal dengan nama CPLD (Complex PLD). CPLD saat ini lebih terkenal karena kepadatan (density) yang tinggi, hasil yang 11

memuaskan, dan biaya yang cukup rendah (CPLD dapat dibeli dengan harga kisaran 1 dolar saja).

Akhirnya, pada pertengahan 1980-an, FPGA(Field Proframmable Gate Arrays) mulai diperkenalkan. FPGA berbeda dari CPLDs dari segi arsitektur, teknologi, ciri khas serta dari segi biaya. FPGA utamanya ditujukan untuk implementasi yang membutuhkan ukuran besar besar, serta untuk sirkuit yang memiliki kemampuan tinggi. Dari penjelasan singkat di atas, dapat disingkat sejarah evolusi PLD pada tabel berikut :

Tabel 3. Evolusi PLD

Perlu diingat, semua jenis PLD (baik simpel atau kompleks) bersifat nonvolative. Mereka semua bersifat OTP(One-time programmable) atau hanya sekali pemprograman saja. PLD dapat bersifat reprogrammable (dapat diprogram ulang) dengan menggunakan EEPROM atau Flash memory(pada umumnya, sekarang

12

menggunakan flash memory). Di sisi lain, FPGA bersifat volatile sehingga digunakan SRAM untuk menyimpan koneksi. Selain itu, dibutuhkan konfigurasi ROM untuk mengisi koneksi antara satu dengan yang lain saat dihidupkan daya listrik. Bagainampun juga, pilihan non-volatile seperti menggunakan antifuse.

2.5 Board starter kit Spartan-3E Board starter kit Spartan-3E akan digunakan dalam praktikum. Board ini menggunakan Xilinx FPGA Spartan-3E (XC3S500E-4FG320C) yang mempunyai 500K gerbang dan tersusun atas komponen-komponen. Dalam praktikum, komponen yang akan digunakan adalah keluaran LED LD0-7, masukan saklar geser SW0-3, dan tombol BTN (E-S-W-N). Selanjutnya board ini disebut Starter Kit.

13

Gambar 3. Board starter kit Spartan-3E beserta komponen komponennya

Pada block diagram sistem masukan-keluaran (Gambar 4) yang hendak dirancang. Diagram tersebut memperlihatkan interkoneksi antara device masukan, FPGA dan device keluaran. Nama sinyal masukan dan keluaran diberikan di atas garis panah, sedangkan pinout FPGA untuk sinyal tersebut diberikan sebagai nama pin. Nama sinyal dan pin akan digunakan sebagai masukan file UCF.

14

Gambar 4. Block diagram Xilinx FPGA Spartan-3E rancangan sistem masuk keluaran

2.6 Xilinx ISE Webpack 11.1 Software Xilinx ISE Webpack 11.1 akan digunakan sebagai GUI untuk merancang problem sistem digital yang diinginkan. Selanjutnya Xilinx ISE Webpack 11.1 disebut sebagai ISE. ISE berisi tool-tool untuk mengembangkan rancangan sistem digital terprogram. Tool yang akan digunakan dalam praktikum adalah: 1. ISE Project Navigator digunakan untuk membuat proyek baru, memasukan entry desain (HDL, skematik), kompilasi desain (sintesis), mengimplementasikan desain dan membangkitkan file programming

(konfigurasi FPGA). Tool lain dari ISE dipanggil dari Project Navigator ini. 2. ISE iMPACT digunakan untuk menuliskan file konfigurasi FPGA ke board Starter Kit.

15

Gambar 5. ISE Project Navigator GUI: Eksplorer, Proses, Entry dan Log

Gambar 6. ISE iMPACT: Konfigurasi Boundary Scan (JTAG)

16

2.7 Metologi Rancangan dengan ISE Perancangan sistem digital menggunakan ISE mempunyai metodologi (Gambar 6). Metodologi tersebut meliputi tahapan sebagai berikut: 1. Entri desain. Program HDL (Hardware Description Language)

dibuat untuk memenuhi kebutuhan dan konstrain sistem yang ingin dirancang. Program ini mendeskripsikan struktural dan perilaku sistem. HDL yang dikenal ada 3, yaitu VHDL, Verilog dan Altera HDL. Praktikan dapat memilih bahasa HDL yang akan digunakannya. Dalam modul praktikum, bahasa Verilog akan digunakan. Sebelum pelaksanaan praktikum, praktikan harus telah menguasai sintaks dan struktur program HDL yang akan digunakannya, baik Verilog atau VHDL. 2. Sintesis. Dari desain HDL, skematik RTL (Register Transfer

Level) dibangkitkan oleh ISE sesuai teknologi yang digunakan (Xilinx FPGA). Salah satu tujuan praktikum adalah melihat skematik RTL dari desain yang telah ditentukan.3. Implementasi. Tahap meliputi translating, mapping/fitting dan

placing&routing untuk mengimplementasikan desain ke teknologi device Xilinx yang sesuai. Desain dioptimasi agar memenuhi kebutuhan fungsional dan konstrainnya (power, area, speed). Hasil implementasi adalah berupa file konfigurasi FPGA (dengan ekstensi *.bit) yang siap untuk diprogramkan ke device XC3S500E.

17

4. Pemrograman/download ke device Xilinx. Tahap ini dilakukan

menggunakan program iMPACT. File konfigurasi didownload ke device melalui interface USB. Dalam setiap tahap, verifikasi dilakukan untuk memastikan kebutuhan dan konstrain terpenuhi.

Gambar 7. Metodologi desain sistem digital menggunakan ISE: entri desain, sintesis, implementasi dan pemrograman 2.8 Very high speed integrated Hardwere Description Language (VHDL) Tulisan ini akan mengulas sekelumit tentang kode yang sering digunakan di dalam FPGA. Kode tersebut adalah VHDL (Very high speed integrated Hardwere Description Language). Tulisan ini dan beberapa tulisan mendatang akan membahas konsep penting dalam VHDL serta aturan penulisan (syntax) kode tersebut. Konsep serta syntax banyak diperlukan untuk mengerti bagaimana rancangan VHDL sebagai bagian dari pemrograman FPGA. Dalam kebanyakan

18

kasus, keputusan memilih dan menggunakan kode VHDL daripada kode Verilog atau System C, sangat tergantung pada pilihan perancang itu sendiri dan lebih kepada ketersediaan software pendukung serta kebutuhan perusahaan. Lebih dari satu dekade, terjadi perdebatan panjang yang tek berkesudahan diantara komunitas pengguna VHDL dengan Verilog. Mereka berdebat mengenai kode mana yang terbaik untuk digunakan dan kode apa yang lebih lengkap dalam hal aturan penulisannya. Memang diantara kedua kode tersebut memiliki perbedaan yang cukup signifikan. Namun secara filosofi konsep, perbedaan dasar dari VHDL dengan Verilog adalah mengenai konteks dari kedua bahasa itu sendiri. Verilog berasal dari tradisi bottom-up yang telah sering digunakan dalam industri IC dalam hal rancangan dasar IC. Sedangkan kode VHDL dikembangkan lebih kepada persepektif top-down. Tentu saja, banyak perbedaan umum dan luas dalam konteks saat ini. Namun, secara jelas dan nyata, perbedaannya dapat terlihat pada syntax dasar dan metode dari kedua kode tersebut. Selanjutnya, tanpa menurunkan kualitas tulisan dengan membahas perbedaan diantara VHDL dan Verilog, satu hal penting tentang keunggulan VHDL adalah kemampuannya untuk menggunakan gabungan level dari model yang memiliki arsitektur yang berbeda seperti ditunjukkan sebagai berikut :

19

Gambar 8. Model VHDL dengan Arsitektur yang Berbeda

Hal tersebut memang bukanlah keunikan atau ciri khas VHDL. Namun, pada kenyataannya kode Verilog juga memiliki konsep sama walaupun hanya terdapat dalam sebuah module. Meskipun demikian, keungulan itu secara eksplisit didefinisikan dalam VHDL dan secara praktis digunakan bersama oleh rancangan multi-level dalam VHDL. Pembagian sebuah model ke dalam beberapa bagian juga merupakan keuggulan lain dari VHDL. Misalnya, bagian interface (dalam VHDL dikenal sebagai entity) dan bagian kelakuan atau behaviour (dalam VHDL dikenal sebagai architecture). Bagian-bagian tersebut adalah pendekatan praktis yang luar biasa untuk bentuk model yang memiliki multiple behaviour dalam sebuah interface. Selain itu bentuk model tersebut

memungkinkan terjadi pertukaran dan implementasi multiple secara terus menerus. Hal inilah salah satu pembeda VHDL dengan Verilog. Selanjutnya, tulisan mendatang akan menggambarkan beberapa kunci penting dari VHDL, yang akan dimulai dari definisi dari sebuah model dasar menggunakan entities dan architecture, membahas pentingnya tipe-tipe variabel,

20

review terhadap metode bersama encapsulation, sekuensial, dan kelakuan (behaviour). Bagian terakhir akan memberikan pengenalan dasar terhadap jenisjenis data yang dibutuhkan dalam VHDL. Tulisan ini akan membahas sekelumit tentang satu kesatuan atau yang lebih dikenal dengan entity. Entity ini merupakan salah satu contoh bentuk satu kesatuan dan terpisah dengan bagian yang lain. Untuk selengkapnya, beberapa uraian berikut akan menjelaskan tentang entity serta hal-hal yang terkait di dalamnya.

Definisi Entity Entity memberikan arti tentang bagaimana sebuah bagian rancangan dideskripsikan di VHDL dalam hubungannya dengan model VHDL lain dan juga memberikan nama untuk model tersebut. Di dalam entity juga diperbolehkan untuk mendefinisikan beberapa parameter yang mengambil model menggunakan hierarki. Kerangka dasar untuk sebuah entity digambarkan sebagai berikut : entity is . entity ; Misalkan sebuah entity diberi nama test, maka kerangka entity tersebut akan menjadi :

21

entity test is end entity test; atau entity test is end test; Ports Sebuah cara atau metode untuk menghubungkan entity secara bersama adalah menggunakan PORTS. Hal ini didefinisikan bahwa entity menggunakan metode sebagai berikut: port ( list of port declarations ); Deklrasi port ini mendefinisikan jenis dari koneksi dan arah yang sesuai. Misalnya, deklarasi port untuk sebuah input bit adalah 1, maka digambarkan sebagai berikut : in1 : in bit; Dan jika model tersebut memiliki 2 input (in1 dan in2) dan satu output (out1), maka deklarasi ports dapat digambarkan berikut: port (

22

in1, in2 : in bit; out1 : out bit ); Dengan menngunakan ports maka titik koneksi diantara entities akan berlangsung dengan efektif dalam hal proses koneksi entities satu sama lain. Selain itu, dengan menggunakan ports akan menjadikan sinyal yang ada menjadi efektif serta cocok digunakan dalam model VHDL. Generics Jika sebuah contoh memiliki sebuah parameter, maka contoh tersebut dapat didefinisikan menggunakan generics. Deklarasi umum dari generics ditunjukan berikut: generic ( list of generic declarations ); Pada beberapa kasus dari generics, deklarasinya mirip dari sebuah constant dengan bentuk yang ditunjukkan sebagai berikut: param1 : integer := 4; Misalnya saja sebuah model yang memiliki 2 generics (gain(integer) dan time_delay(time)), keduanya dapat didefinisikan dalam sebuah entity sebagai berikut:

23

generic ( gain : integer := 4; time_delay : time = 10 ns ); Constants Selain hal-hal yang disebutkan di atas, contoh spesifik constant dapat dideklarasikan menggunakan metode sebelumnya sebagai berikut: constant : rpullup : real := 1000.0; Contoh Entity Untuk menggambarkan keseluruhan sebuah entity, ports dan generics dapat digunakan secara bersama. Maka inilah sebuah contoh bentuk entity yang lengkap, mengacu dengan beberapa contoh sebelumnya : entity test is port ( in1, in2 : in bit; out1 : out bit );

24

generic ( gain : integer := 4; time_delay : time := 10 ns ); constant : rpullup : real := 1000.0; end entity test;

25

2.8.1 Tipe Data Standart Dalam VHDL Kode VHDL mengandung sederetan tipe-tipe data yang telah ditentukan melalui aturan standar IEEE 1076 dan IEEE 1164. Untuk lebih jelas, beberapa tipe data telah tercantum ke dalam masing-masing jenis library/packages yaitu :

Package standard of library std. Tipe data yang masuk jenis ini adalah tipe bit, boolean, integer, dan real.

Package std_logic_1164 of library ieee. Tipe data yang masuk jenis ini adalah std_logic dan std_ulogic.

Package std_logic_arith of library ieee. Tipe data yang masuk ke dalam library ini adalah signed, unsigned serta beberapa konversi fungsi, seperti conv_integer(p), conv_unsigned(p, b), conv_signed(p, b), and

conv_std_logic_vector(p, b). Perhatikan, berikut beberapa aturan tipe data std dalam VHDL:

Tipe data Boolean : True, False Tipe data Integer : berisi 32 bit integer (mulai dari -2.147.483.647 s/d +2.147.483.647)

Tipe data natural : adalah bilangan non negatif dari integer (mulai dari 0 s.d +2,147,483,647)

26

2.8.2 Tipe Data Pengguna Selain tipe data yang telah ditentukan secara baku oleh aturan IEEE, ternyata VHDL juga memperbolehkan pengguna (user) untuk menentukan tipe data yang diinginkan. Ada 2 kategori untuk tipe data yang bisa ditentukan sendiri oleh user yaitu: 1. Tipe Integer

Tipe integer yang memiliki jangkauan -2.147.483.647 s/d +2.147.483.647 (mirip dengan tipe data standar)

Tipe natural yang memiliki jangkauan 0 s/d +2.147.483.647 (mirip dengan tipe data standar)

Tipe my_integer yang memiliki jangkauan -32 s/d 32 (tipe data yang ditentukan sendiri oleh user)

Tipe nilai_murid yang memiliki jankauan 0 s/d 100 (tipe data yang ditentukan sendiri oleh user)

2. Tipe enumerated

Tipe bit yaitu 0 dan 1 Tipe my_logic yaitu 0, 1, dan Z (ditentukan sendiri oleh user) Tipe keadaan yaitu idle, stop, backward, forward (ditentukan sendiri oleh user)

Tipe warna misalnya merah, hijau, putih (ditentukan sendiri oleh user)

27

2.9 Transistor Darlington Transistor Darlington adalah rangkaian elektronika yang terdiri dari sepasang transistor bipolar (dwi kutub) yang tersambung secara tandem (seri). Sambungan seri seperti ini dipakai untuk mendapatkan penguatan (gain) yang tinggi, karena hasil penguatan pada transistor yang pertama akan dikuatkan lebih lanjut oleh transistor kedua. Keuntungan dari rangkaian Darlington adalah penggunaan ruang yang lebih kecil dari pada rangkaian dua buah transistor biasa dengan bentuk konfigurasi yang sama. Penguatan arus listrik atau gain dari rangkaian transistor Darlington ini sering dituliskan dengan notasi atau hFE.

Gambar 9. Diagram rangkaian dari transistor Darlington

Transistor Darlington bersifat seolah-olah sebagai satu transistor tunggal yang mempunyai penguatan arus yang tinggi. Penguatan total dari rangkaian ini merupakan hasil kali dari penguatan masing-masing transistor yang dipakai:

28

Dan

Jika rangkaian dipakai dalam mode tunggal emiter maka RE adalah nol dan Nilai

Dan

Penguatan total dari transistor Darlington bisa mencapai 1000 kali atau lebih. Dari luar transistor Darlington nampak seperti transistor biasa dengan 3 buah kutub: B (basis), C (Kolektor), dan E (Emitter). Dari segi tegangan listriknya, voltase base-emitter rangkaian ini juga lebih besar, dan secara umum merupakan jumlah dari kedua tegangan masing-masing transistornya, seperti nampak dalam rumus berikut: VBE = VBE1 + VBE2

2.10

Solenoid

Solenoid didefinisikan sebagai sebuah kumparan kawat umumnya dalam bentuk silinder panjang yang ketika membawa arus menyerupai sebuah magnet batang sehingga inti bergerak ditarik ke dalam kumparan ketika arus mengalir. Definisi yang lebih sederhana adalah bahwa solenoida adalah kumparan dan inti besi bergerak digunakan untuk mengubah energi listrik menjadi energi mekanik. Solenoida telah sekitar selama puluhan tahun tapi sekarang berbagai ukuran

29

kurang dari seperempat inci untuk lebih dari 15 inci dengan diameter output kekuatan kurang dari satu ons untuk satu ton. Pengertian lain dari solenoid adalah salah satu jenis kumparan terbuat dari kabel panjang yang dililitkan secara rapat dan dapat diasumsikan bahwa panjangnya jauh lebih besar daripada diameternya. Dalam kasus solenoid ideal, panjang kumparan adalah tak hingga dan dibangun dengan kabel yang saling berhimpit dalam lilitannya, dan medan magnet di dalamnya adalah seragam dan paralel terhadap sumbu solenoid. Kuat medan magnet untuk solenoid ideal adalah:

di mana:

B adalah kuat medan magnet, 0 adalah permeabilitas ruang kosong, i adalah kuat arus yang mengalir, dan n adalah jumlah lilitan.

Prinsip

kerja dari selenoid

yaitu

bila arus

mengalir

melewati

koil/kumparan dari Vcc k Ground maka kumparan tersebut akan menghasilkan medan magnet. Medan magnet tersebut akan menarik inti besi dari titik A menuju titik B berarti kedalam menuju kearah koil sehingga pintu terbuka. Saat hubungan Vcc dan Ground diputuskan, maka inti besi akan kembali seperti semula karen pada inti besi dilengkapi pegas yang mengakibatkan keran tertutup kembali.

30

Gambar 10. Skema Solenoid

Gambar 11. Struktur dalam solenoid

Dari Gambar 11 menunjukkan dorongan standar (push jenis), sehingga akan terjadi buka tutup. Bila solenoid diberi energi maka plunger akan tertarik ke stop. Sebuah persyaratan aplikasi telah digariskan untuk mengembangkan kunci dioperasikan secara elektrik menggunakan solenoid yang akan cocok dalam suatu desain kasus yang ada dari sistem, furnitur kunci pintu standar. Solenoida harus sesuai untuk rating kontinu pada 8, 12, dan 24 volt DC dan juga untuk jangka pendek pada AC, seperti yang digunakan dengan sistem telepon pintu. Untuk sistem baterai 12 VDC dioperasikan, perlu untuk membatasi arus solenoida untuk

31

maksimum 500mA untuk mempertahankan hidup baterai yang wajar. Kunci harus pas universal untuk membuka pintu ke dalam atau ke luar baik tangan kiri atau kanan, tanpa modifikasi. Sebuah desain kebuntuan otomatis diperlukan, sehingga kebal terhadap serangan dari perangkat seperti strip fleksibel. Ini harus menjadi desain yang kuat, untuk menahan 200.000 operasi, dan untuk mengoperasikan dan melepaskan terhadap beban samping yang relatif tinggi. Kunci ini digunakan bersama dengan sebagian besar jenis mekanisme kunci yang efek menimpa mekanik untuk akses. Demikian juga, furnitur pintu musim semi dimuat akan memberikan menimpa mekanik untuk keluar. Hambatan dari kunci untuk penutupan pintu harus dijaga minimum untuk menghilangkan kebutuhan untuk perangkat mahal dan kuat pintu penutupan. Coils dirancang untuk standar 12 dan 24 VDC dan persediaan baterai rendah saat ini. Desain sistem akhir juga memungkinkan untuk masuknya switch pemantauan yang dapat mengindikasikan pintu dan status kunci. Ukuran ini menawarkan kunci listrik sangat efektif dengan biaya yang relatif rendah.

32

33