desain dan implementasi orthogonal frequency division multiplexing (ofdm) pada field programmable...

14
DESAIN DAN IMPLEMENTASI ORTHOGONAL FREQUENCY DIVISION MULTIPLEXING (OFDM) PADA FIELD PROGRAMMABLE GATE ARRAY (FPGA) Bintang Ramdhani (Mahasiswa Teknik Elektro Universitas Lampung) Abstrak Orthogonal Frequency Division Multiplexing (OFDM) adalah sebuah teknik transmisi yang menggunakan beberapa buah frekuensi (multicarrier), yang saling tegak lurus (orthogonal). Teknik tersebut merupakan kombinasi dari teknik modulasi dan teknik multiplexing, dimana modulasi adalah proses perubahan (varying) suatu gelombang periodik sehingga menjadikan suatu sinyal mampu membawa suatu informasi. terdapat tiga parameter kunci pada yaitu :amplitudo, fase dan frekuensi, sedangkan multiplexing adalah suatu teknik mengirimkan lebih dari satu (banyak) informasi melalui satu saluran. Penelitian dilakukan dengan membuat desain transmitter dan receiver OFDM menggunakan bahasa pemrograman Very High Speed Intregrated Circuit Hardware Description Language (VHDL) pada software ISE Webpack 10.1. Desain tersebut dibuat dalam beberapa bagian agar mempermudah pembuatan desain, untuk transmitter dibagi menjadi desain modulasi, serial to parallel converter, IFFT, dan parallel to serial converter, sedangkan untuk receiver terbagi menjadi desain serial to parallel, FFT, parallel to serial converter, dan demodulasi. Kemudian masing-masing desain diuji dengan menggunakan software simulasi ModelSim XE III 6.3c dan desain tersebut diimplementasikan pada chip FPGA Xilinx Spartan-3E. Hasil yang diperoleh dari penelitian ini adalah desain transmitter dan receiver menggunakan bahasa pemrograman VHDL dan diimplementasikan pada chip FPGA Xilinx Spartan-3E. I. PENDAHULUAN A. Latar Belakang Perkembangan teknologi telekomunikasi saat ini telah mengalami perkembangan yang pesat baik secara fisik (perangkat keras/ hardware) dan non fisik (program/ software). Perkembangan teknologi berkembang pesat dikarenakan semakin berkembangnya kebutuhan manusia akan komunikasi jarak jauh. Pada jaringan telekomunikasi, informasi atau pesan yang akan disampaikan terlebih dahulu diubah menjadi sinyal listrik dan ditransmisikan melalui suatu media sehingga dapat diterima oleh pihak yang dituju [Susanty, 2007]. Telekomunikasi nirkabel lebih banyak disukai daripada telekomunikasi dengan kabel karena telekomunikasi nirkabel ini tidak membutuhkan media perantara yang berupa fisik dalam penyampaian data-data yang akan dikirimkan tetapi melalui media gelombang cahaya tertentu (seperti teknologi infra merah pada remote TV) atau gelombang radio (seperti bluetooth pada komputer dan ponsel) dengan frekuensi tertentu. Karena tuntutan akan layanan data berkecepatan tinggi dengan performansi yang baik dan mampu bekerja pada kanal multipath fading (frequency selective fading) maka diperlukan suatu teknologi yang dapat melakukan itu. Teknologi ini adalah Orthogonal Frequency Division Multiplexing (OFDM) dan OFDM ini diimplementasikan pada FPGA maka tidak akan membutuhkan banyak hardware karena hanya membutuhkan sebuah chip FPGA berukuran 2 x 2 x 0,2 cm yang dapat mengolah data-data tersebut sebelum dikirimkan atau diterima. B. Tujuan Penelitian Tujuan dari penelitian ini adalah sebagai berikut. 1. Mendesain modul Serial to Parallel Converter mengunakan bahasa pemrograman VHDL. 2. Mendesain modul Parallel to Serial Converter mengunakan bahasa pemrograman VHDL. 3. Mendesain modul Fast Fourier Transform mengunakan bahasa pemrograman VHDL. 4. Mendesain modul Inverse Fast Fourier Transform mengunakan bahasa pemrograman VHDL. 5. Menggabungkan modul modulasi BPSK, Serial to Parallel Converter, Fast Fourier Transform, dan Parallel to Serial Converter dalam satu sistem dan diimplementasikan pada chip FPGA. 6. Menggabungkan modul demodulasi BPSK, Serial to Parallel converter, Inverse Fast Fourier Transform, dan Parallel to Serial Converter dalam satu sistem dan diimplementasikan pada chip FPGA. C. Manfaat Penelitian Perancangan ini memiliki manfaat-manfaat sebagai berikut:

Upload: dhan7

Post on 27-Jul-2015

2.674 views

Category:

Documents


8 download

DESCRIPTION

Teknologi OFDM yang ditanamkan pada chip FPGA

TRANSCRIPT

Page 1: DESAIN DAN IMPLEMENTASI ORTHOGONAL FREQUENCY DIVISION MULTIPLEXING (OFDM) PADA FIELD PROGRAMMABLE GATE ARRAY (FPGA)

DESAIN DAN IMPLEMENTASI ORTHOGONAL FREQUENCY

DIVISION MULTIPLEXING (OFDM) PADA FIELD

PROGRAMMABLE GATE ARRAY (FPGA)

Bintang Ramdhani

(Mahasiswa Teknik Elektro Universitas Lampung)

Abstrak

Orthogonal Frequency Division Multiplexing (OFDM) adalah sebuah teknik transmisi yang menggunakan

beberapa buah frekuensi (multicarrier), yang saling tegak lurus (orthogonal). Teknik tersebut merupakan kombinasi dari

teknik modulasi dan teknik multiplexing, dimana modulasi adalah proses perubahan (varying) suatu gelombang periodik

sehingga menjadikan suatu sinyal mampu membawa suatu informasi. terdapat tiga parameter kunci pada yaitu :amplitudo,

fase dan frekuensi, sedangkan multiplexing adalah suatu teknik mengirimkan lebih dari satu (banyak) informasi melalui

satu saluran.

Penelitian dilakukan dengan membuat desain transmitter dan receiver OFDM menggunakan bahasa pemrograman

Very High Speed Intregrated Circuit Hardware Description Language (VHDL) pada software ISE Webpack 10.1. Desain

tersebut dibuat dalam beberapa bagian agar mempermudah pembuatan desain, untuk transmitter dibagi menjadi desain

modulasi, serial to parallel converter, IFFT, dan parallel to serial converter, sedangkan untuk receiver terbagi menjadi

desain serial to parallel, FFT, parallel to serial converter, dan demodulasi. Kemudian masing-masing desain diuji dengan

menggunakan software simulasi ModelSim XE III 6.3c dan desain tersebut diimplementasikan pada chip FPGA Xilinx

Spartan-3E.

Hasil yang diperoleh dari penelitian ini adalah desain transmitter dan receiver menggunakan bahasa pemrograman

VHDL dan diimplementasikan pada chip FPGA Xilinx Spartan-3E.

I. PENDAHULUAN

A. Latar Belakang

Perkembangan teknologi telekomunikasi saat ini telah

mengalami perkembangan yang pesat baik secara fisik

(perangkat keras/ hardware) dan non fisik (program/

software). Perkembangan teknologi berkembang pesat

dikarenakan semakin berkembangnya kebutuhan manusia

akan komunikasi jarak jauh.

Pada jaringan telekomunikasi, informasi atau pesan

yang akan disampaikan terlebih dahulu diubah menjadi

sinyal listrik dan ditransmisikan melalui suatu media

sehingga dapat diterima oleh pihak yang dituju [Susanty,

2007].

Telekomunikasi nirkabel lebih banyak disukai

daripada telekomunikasi dengan kabel karena

telekomunikasi nirkabel ini tidak membutuhkan media

perantara yang berupa fisik dalam penyampaian data-data

yang akan dikirimkan tetapi melalui media gelombang

cahaya tertentu (seperti teknologi infra merah pada remote

TV) atau gelombang radio (seperti bluetooth pada

komputer dan ponsel) dengan frekuensi tertentu.

Karena tuntutan akan layanan data berkecepatan tinggi

dengan performansi yang baik dan mampu bekerja pada

kanal multipath fading (frequency selective fading) maka

diperlukan suatu teknologi yang dapat melakukan itu.

Teknologi ini adalah Orthogonal Frequency Division

Multiplexing (OFDM) dan OFDM ini diimplementasikan

pada FPGA maka tidak akan membutuhkan banyak

hardware karena hanya membutuhkan sebuah chip FPGA

berukuran 2 x 2 x 0,2 cm yang dapat mengolah data-data

tersebut sebelum dikirimkan atau diterima.

B. Tujuan Penelitian

Tujuan dari penelitian ini adalah sebagai berikut.

1. Mendesain modul Serial to Parallel Converter

mengunakan bahasa pemrograman VHDL.

2. Mendesain modul Parallel to Serial Converter

mengunakan bahasa pemrograman VHDL.

3. Mendesain modul Fast Fourier Transform

mengunakan bahasa pemrograman VHDL.

4. Mendesain modul Inverse Fast Fourier Transform

mengunakan bahasa pemrograman VHDL.

5. Menggabungkan modul modulasi BPSK, Serial to

Parallel Converter, Fast Fourier Transform, dan

Parallel to Serial Converter dalam satu sistem dan

diimplementasikan pada chip FPGA.

6. Menggabungkan modul demodulasi BPSK, Serial to

Parallel converter, Inverse Fast Fourier Transform,

dan Parallel to Serial Converter dalam satu sistem

dan diimplementasikan pada chip FPGA.

C. Manfaat Penelitian

Perancangan ini memiliki manfaat-manfaat sebagai

berikut:

Page 2: DESAIN DAN IMPLEMENTASI ORTHOGONAL FREQUENCY DIVISION MULTIPLEXING (OFDM) PADA FIELD PROGRAMMABLE GATE ARRAY (FPGA)

1. Dapat meminimalisasi ukuran sebuah transmitter dan

receiver, karena sistem transmitter dan receiver

tersebut ditanamkan dalam sebuah chip FPGA yang

berukuran 2 x 2 x 0,2 cm..

2. Dapat mengembangkan penerapan teknologi

khususnya teknologi Integrated Circuit (IC) yang

dapat dirancang sendiri oleh user.

D. Batasan Masalah

Beberapa hal yang membatasi masalah dalam pembahasan

tugas akhir adalah:

1. Algoritma FFT/ IFFT yang digunakan adalah

algoritma decimation in frequency (DIF) 8 titik.

2. Chip FPGA yang digunakan ialah Xilinx Spartan 3E

XC3S500E.

3. Bahasa Pemrogaman yang digunakan adalah Very

High Speed Integrated Circuit Hardware Description

Language (VHDL) untuk chip FPGA yang diproduksi

oleh perusahaan Xilinx.

4. Transmitter yang dibuat tersusun dari modulasi

BPSK, serial to paralel converter, IFFT, dan paralel

to serial converter. Untuk receiver yang dibuat

tersusun dari demodulasi BPSK, serial to paralel

converter, FFT, dan paralel to serial converter.

5. Pengiriman data dari transmitter ke receiver

menggunakan kabel penghubung.

E. Perumusan Masalah

Masalah yang akan dicoba untuk diselesaikan dalam

penelitian ini adalah:

1. Bagaimana mendesain Fast Fourier Transform

(FFT), Invers Fast Fourier Transform (IFFT), Serial

to Parallel Converter, dan Paraller to Serial

Converter menggunakan bahasa pemrograman

VHDL.

2. Bagaimana mendapatkan nilai keluaran dari masing-

masing rangkaian menggunakan software simulasi

agar sesuai dengan data yang didapat dalam

perhitungan.

3. Bagaimana menggabungkan Serial to Parallel

Converter, Modulator/ demodulator, Fast Fourier

Transform/ Invers Fast Fourier Transform

(FFT/IFFT), Paraller to Serial Converter dalam satu

sistem digital.

F. Hipotesis

Pada transmitter didapat data hasil modulasi BPSK

dan multiplexing IFFT, serta pada receiver didapat data

hasil demodulasi BPSK dan demultiplexing FFT. Data

hasil tersebut didapat dari simulasi menggunakan software

Modelsim Xilinx Edition-III v6.3c dan sesuai dengan data

hasil perhitungan.

G. Sistematika Penulisan

Dalam tulisan tugas akhir rancang bangun sistematika

penulisan yang dibuat adalah sebagai berikut:

I. PENDAHULUAN

Menjelaskan tugas akhir secara umum, berisi latar

belakang, tujuan, manfaat penelitian, batasan masalah,

perumusan masalah, hipotesis, dan sistematika

penulisan.

II. TINJAUAN PUSTAKA

Pada bab ini dijelaskan secara garis besar tentang teori

dasar yang digunakan dan yang berhubungan dengan

sistem yang akan dibuat.

III. METODE PENELITIAN

Bagian ini akan menjelaskan metodologi yang

digunakan dalam proses pembuatan dan

pengimplementasian sistem.

IV. HASIL DAN PEMBAHASAN

Bagian ini berisi mengenai hasil pengujian dari

percobaan dan membahas terhadap data-data hasil

pengujian yang diperoleh.

V. SIMPULAN DAN SARAN

Bab ini akan menyimpulkan semua kegiatan dan hasil-

hasil yang diperoleh selama proses pembuatan dan

implementasi sistem.

II. TINJAUAN PUSTAKA

A. Orthogonal Frequency Division Multiplexing

(OFDM)

1. Definisi OFDM

Orthogonal Frequency Division Multiplexing (OFDM)

adalah sebuah teknik transmisi yang menggunakan

beberapa buah frekuensi (multicarrier) yang saling tegak

lurus (orthogonal). Teknik tersebut merupakan kombinasi

dari teknik modulasi dan teknik multiplexing, dimana

modulasi adalah proses perubahan (varying) suatu

gelombang periodik sehingga menjadikan suatu sinyal

mampu membawa suatu informasi, terdapat tiga

parameter kunci pada yaitu: amplitudo, fase dan frekuensi,

sedangkan multiplexing adalah suatu teknik mengirimkan

lebih dari satu (banyak) informasi melalui satu saluran

[wikipedia, 2008]. Pada saat ini, OFDM telah dijadikan

standar telekomunikasi dan dioperasikan di Eropa yaitu

pada Proyek Digital Audio Broadcast (DAB), selain itu

juga digunakan pada High Bit-rate Digital Subscriber

Lines (HDSL) 1,6 Mbps, Very High Speed Digital

Subscriber Lines (VHDSL) 100 Mbps, High Definition

Television (HDTV), Worldwide Interoperability for

Microwave Access (WiMAX) dan juga komunikasi radio.

Gambar 1 menunjukkan prinsip utama dari FDM dan

OFDM.

Gambar 1. Prinsip utama FDM dan OFDM

Istilah orthogonal dalam Orthogonal Frequency

Division Multiplexing (OFDM) mengandung makna

hubungan matematis antara frekuensi-frekuensi yang

Page 3: DESAIN DAN IMPLEMENTASI ORTHOGONAL FREQUENCY DIVISION MULTIPLEXING (OFDM) PADA FIELD PROGRAMMABLE GATE ARRAY (FPGA)

digunakan dan didefinisikan dengan fungsi dari nilai real

dan imaginer. Dengan persamaan matematika bisa

diekspresikan sebagai dua buah kumpulan sinyal bila:

0)().( =∫a

b

qp dttt ψψ , ( qp ≠ )...................... (1)

Pemakaian frekuensi yang saling orthogonal pada

OFDM memungkinkan overlap antar frekuensi tanpa

menimbulkan interferensi satu sama lain.

Sebagai analogi, FDM diibaratkan sebagai air yang

keluar dari sebuah kran dan OFDM sebagai air yang keluar

dari sebuah shower. Air pada sebuah keran akan membuat

pada satu aliran air dan tidak bisa dibagi-bagi, sedangkan

air pada shower akan membuat banyak aliran air sehingga

jika keran tersebut ditutup dengan jari maka aliran air

tersebut dapat dihentikan sedangkan pada shower tidak.

Gambar 2. (a) Analogi kran air sebagai FDM yang single

carrier dan

(b) Analogi shower sebagai OFDM yang multi carrier

2. Prinsip Dasar OFDM

Gambar 3. Blok diagram OFDM

Sinyal carrier dari OFDM merupakan penjumlahan

dari banyaknya sub-carriers yang orthogonal, dengan data

pada masing-masing sub-carriers dimodulasikan secara

bebas menggunakan teknik modulasi. Pada stasiun

penerima, dilakukan operasi yang berkebalikan dengan apa

yang dilakukan di stasiun pengirim. Mulai dari konversi

dari serial ke paralel, kemudian konversi sinyal yang

paralel dengan Discrete Fourier Transform (DFT),

konversi dari paralel ke serial, setelah itu demodulasi dan

akhirnya kembali menjadi bentuk data informasi.

Pemakaian frekuensi yang saling orthogonal pada

OFDM memungkinkan overlap antar frekuensi tanpa

menimbulkan interferensi satu sama lain. Ada beberapa

kumpulan sinyal yang orthogonal, salah satunya yang

cukup sering digunakan adalah sinyal sinus.

Pada OFDM, sinyal didesain sedemikian rupa agar

orthogonal, sehingga bila ada distorsi pada jalur

komunikasi yang menyebabkan intersymbol interference

dan intercarrier interference, maka setiap subchannel akan

bisa dipisahkan stasiun penerima dengan menggunakan

IFFT. Tetapi pada kenyataannya tidak semudah itu. Karena

pembatasan spektrum dari sinyal OFDM tidak strict,

sehingga terjadi distorsi linear yang mengakibatkan energi

pada tiap-tiap subchannel menyebar ke subchannel di

sekitarnya, dan pada akhirnya ini akan menyebabkan

interferensi antar simbol (ISI). Solusi yang termudah

adalah dengan menambah jumlah subchannel sehingga

periode simbol menjadi lebih panjang, dan distorsi bisa

diabaikan bila dipandingkan dengan periode simbol. Tetapi

cara diatas tidak aplikatif, karena sulit mempertahankan

stabilitas carrier dan juga menghadapi Doppler Shift.

Selain itu, kemampuan FFT juga ada batasnya.

Pendekatan yang relatif sering digunakan untuk

memecahkan masalah ini adalah dengan menyisipkan

guard interval (interval penghalang) secara periodik pada

tiap simbol OFDM. Sehingga total dari periode simbol

menjadi :

T total = T guard + T symbol ...........................(2)

Penyisipan tersebut dapat digambarkan sebagai berikut :

Gambar 4. Penyisipan interval penghalang

Cyclic prefix yang ditransmisikan selama guard

interval, terdiri dari akhir dari simbol OFDM yang dicopy

ke guard interval, dan guard interval ditransmisikan

diikuti dengan simbol OFDM. Alasan guard interval

terdiri dari copy dari akhir simbol OFDM adalah agar

receiver nantinya mengintegrasi masing-masing multipath

melalui angka integer dari siklus sinusoida ketika proses

demodulasi OFDM dengan FFT.

3. Keunggulan dan Kelemahan OFDM

a. Keunggulan OFDM

OFDM ini memiliki beberapa kelebihan, diantaranya

yaitu:

1. Efisien dalam pemakaian frekuensi

Pada OFDM overlap antar frekuensi yang

bersebelahan diperbolehkan, karena masing-masing

sudah saling orthogonal, sedangkan pada sistem

multicarrier konvensional untuk mencegah interferensi

antar frekuensi yang bersebelahan perlu diselipkan

frekuensi penghalang (guard band), dimana hal ini

memiliki efek samping berupa menurunnya kecepatan

transmisi bila dibandingkan dengan sistem single

carrier dengan lebar spektrum yang sama. Sehingga

salah satu karakteristik dari OFDM adalah tingginya

tingkat efisiensi dalam pemakaian frekuensi.

2. Kuat menghadapi frequency selective fading

Karakter utama yang lain dari OFDM adalah kuat

menghadapi frequency selective fading. Dengan

menggunakan teknologi OFDM, meskipun jalur

komunikasi yang digunakan memiliki karakteristik

frequencyselective fading (dimana bandwidth dari

Page 4: DESAIN DAN IMPLEMENTASI ORTHOGONAL FREQUENCY DIVISION MULTIPLEXING (OFDM) PADA FIELD PROGRAMMABLE GATE ARRAY (FPGA)

channel lebih sempit daripada bandwidth dari

transmisi sehingga mengakibatkan pelemahan daya

terima secara tidak seragam pada beberapa frekuensi

tertentu), tetapi tiap sub carrier dari sistem OFDM

hanya mengalami flat fading (pelemahan daya terima

secara seragam). Pelemahan yang disebabkan oleh flat

fading ini lebih mudah dikendalikan, sehingga

performansi dari sistem mudah untuk ditingkatkan

3. Tidak sensitif terhadap sinyal tunda

Keuntungan yang lainnya adalah, dengan rendahnya

kecepatan transmisi di tiap subcarrier berarti periode

simbolnya menjadi lebih panjang sehingga

kesensitifan sistem terhadap delay spread (penyebaran

sinyal-sinyal yang datang terlambat) menjadi relatif

berkurang.

b. Kelemahan OFDM

Sebagai sebuah sistem buatan menusia, tentunya

teknologi OFDM pun tak luput dari kekurangan-

kekurangan. Diantaranya adalah frequency offset dan

nonlinear distortion (distorsi nonlinear).

1. Sangat sensitif terhadap carrier frequency offset

Sistem ini sangat sensitif terhadap carrier frequency

offset yang disebabkan oleh jitter pada gelombang

pembawa (carrier wave) dan juga terhadap Efek

Doppler yang disebabkan oleh pergerakan baik oleh

stasiun pengirim maupun stasiun penerima.

2. Mudah terkontaminasi oleh distorsi nonlinear

Teknologi OFDM adalah sebuah sistem modulasi

yang menggunakan multi-frekuensi dan multi-

amplitudo, sehingga sistem ini mudah terkontaminasi

oleh distorsi nonlinear yang terjadi pada amplifier dari

daya transmisi.

3. Sulit menentukan start point pada stasiun penerima

Pada stasiun penerima, menentukan start point untuk

memulai operasi Fast Fourier Transform (FFT) ketika

sinyal OFDM tiba di stasiun penerima adalah hal yang

relatif sulit atau dengan kata lain, sinkronisasi

daripada sinyal OFDM adalah hal yang sulit.

B. Modulasi

1. Prinsip Dasar Modulasi

Modulasi adalah proses perubahan suatu gelombang

sehingga menjadikan suatu sinyal mampu membawa suatu

informasi. Dengan proses modulasi, suatu informasi bisa

dimasukkan ke dalam suatu gelombang pembawa.

Terdapat tiga parameter kunci pada suatu gelombang yaitu:

amplitude, fasa, dan frekuensi. Ketiga parameter tersebut

dapat dimodifikasi sesuai dengan sinyal informasi untuk

membentuk sinyal yang termodulasi.

2. Modulasi Digital

Dalam pengiriman data informasi bisa berupa data

analog maupun digital sehingga terdapat dua jenis

modulasi yaitu: modulasi analog dan modulasi digital.

Dalam modulasi digital, suatu sinyal analog

dimodulasi berdasarkan aliran data digital. Perubahan

sinyal pembawa dipilih dari jumlah terbatas simbol

alternatif. Teknik yang umum dipakai adalah :

1. Amplitude Shift Keying (ASK) yaitu modulasi

amplituda dengan pemodulasi sinyal data biner (bit 0

atau bit 1), sinyal data tersebut direpresentasikan

dengan level amplituda yang berbeda. pada umumnya

salah satu amplitudo adalah nol untuk mewakili biner

0, sedangkan biner 1 diwakili oleh adanya sinyal

carrier dengan amplituda yang konstan, untuk

modulasi tersebut dapat dilihat pada gambar 8 dan

persamaannya seperti berikut:

)2cos()( tfAts cπ= untuk biner 1

0)( =ts untuk biner 0 ......(3)

ASK umumnya digunakan untuk mentransmisikan

sinyal digital pada serat optik, adanya cahaya

menandakan adanya 1 elemen sinyal atau biner 1 dan

bila tidak cahaya berarti biner 0..

2. Frequency Shift Keying (FSK) yaitu modulasi

frekuensi dengan pemodulasi sinyal data biner (bit 0

atau bit 1), sinyal data tersebut direpresentasikan

dengan frekuensi yang berbeda. FSK mewakili dua

nilai biner dengan dua buah frekuensi yang letaknya

berdekatan dengan frekuensi tengah, seperti

persamaan berikut :

)2cos()( 1tfAts π= untuk biner 1

)2cos(.)( 2tfAts π= untuk biner 0 ......(4)

dimana f1 dan f2 biasanya diperoleh dari pengurangan

dan penjumlahan fc dengan suatu jarak frekeunsi

tertentu, modulasi tersebut dapat dilihat pada gambar

8. Karena menggunakan dua frekuensi sesuai dengan

dua kode biner yang dipakai (0 dan 1) maka modulasi

ini sering disebut Digital-FSK.

Gambar 5. Jenis-jenis modulasi digital

3. Phase Shift Keying (PSK) yaitu modulasi fase dengan

pemodulasi sinyal data biner (bit 0 atau bit 1), sinyal

data tersebut direpresentasikan dengan fase yang

berbeda. Modulasi fase memiliki dua jenis yaitu

Phase-coherent PM atau yang umum disebut dengan

phase shift keying (PSK), dipergunakan untuk

memodulasi data biner 1 dan 0 dengan perbedaan fase

sebesar 1800, pada setiap perubahan data biner.

Page 5: DESAIN DAN IMPLEMENTASI ORTHOGONAL FREQUENCY DIVISION MULTIPLEXING (OFDM) PADA FIELD PROGRAMMABLE GATE ARRAY (FPGA)

Sedangkan yang yang lain disebut differential-PM

yang dipergunakan untuk memodulasi dengan

perbedaan phase sebesar 900 untuk kode biner 0 dan

perbedaan phase sebesar 2700 untuk biner 1. modulasi

tersebut dapat dilihat pada gambar 8 dan

persamaannya seperti berikut:

)2cos()( ππ += tfAts c untuk biner 1

)2cos(.)( tfAts cπ= untuk biner 0.....(5)

Penggunaan bandwidth yang lebih efisien lagi bisa

dicapai bila setiap elemen pensinyalan menunjukan

lebih dari satu bit, maka setiap perubahan fase dapat

digunakan untuk mewakili lebih dari satu elemen

sinyal, karena pergeseran fase yang lebih kecil dari

1800 dapat dilakukan, seperti pada persamaan berikut:

)452cos()( 0+= tfAts cπ untuk biner 11

)1352cos(.)( 0+= tfAts cπ untuk biner 10

)2252cos()( 0+= tfAts cπ untuk biner 00

)3152cos(.)( 0+= tfAts cπ untuk biner 01

.....................(6)

C. Multiplexing

1. Prinsip Dasar Multiplexing Multiplexing adalah suatu teknik mengirimkan lebih

dari satu informasi melalui satu saluran. Istilah ini adalah

istilah dalam dunia telekomunikasi. Tujuan utamanya

adalah untuk menghemat jumlah saluran fisik misalnya

kabel, pemancar dan penerima (transceiver), atau kabel

optik. Contoh aplikasi dari teknik multiplexing ini adalah

pada jaringan transmisi jarak jauh, baik yang

menggunakan kabel maupun yang menggunakan media

udara (wireless atau radio). Alat yang melakukan

multiplexing disebut multiplexer (MUX) dan alat yang

melakukan proses yang berlawanan disebut demultplexer

(DEMUX).

Gambar 6. Multiplexing

3. Jenis-jenis Multiplexing

Berdasarkan teknik pengiriman data informasi maka

multiplexing terbagi menjadi dua yaitu berdasarkan

frekuensi dan berdasarkan waktu.

a. Time Division Multiplexing (TDM)

Pada multiplexing jenis ini Pengiriman data dengan

mencampur data berdasarkan waktu sinyal data tersebut

dikirimkan. Digunakan untuk transmisi sinyal digital, bit

data dari terminal secara bergantian diselipkan di antara bit

data dari terminal lain. Pada sistem yang lainnya saluran-

saluran yang berbeda secara bergiliran menggunakan

saluran itu dengan membuat sebuah kelompok yang

berdasarkan pada pulse-times (hal seperti ini disebut

dengan time slot), teknik multiplexing ini dapat dilihat

pada gambar 10.

Gambar 7. TDM

b. Frequency Division Multiplexing (FDM)

Multiplexing ini dilakukan dengan cara menata tiap

data informasi sedemikian rupa sehingga menempati satu

alokasi frekuensi. Data yang dikirimkan akan dicampur

berdasarkan frekuensi.

Gambar 8. FDM

Salah satu teknik dalam multiplexing ini adalah

discrete Fourier Transform (DFT).

4. Fast FourierTransform/ Inverse Fast Fourier

Transform (FFT/IFFT)

Fast Fourier Transform/ Inverse Fast Fourier

transform (FFT/IFFT) adalah suatu algoritma untuk

menghitung discrete fourier transform/ inverse fast fourier

fransform (DFT) yang secara substansial dapat menyimpan

waktu yang lebih dari pada metoda yang konvensional.

Dua kelas dasar dari algoritma Fast Fourier Transform

(FFT) adalah decimation-in-time (DIT) dan decimation-in-

frequency (DIF).[Samuel, 2004]

DFT adalah salah satu bentuk transformasi fourier

dimana sebagai ganti integral, digunakan penjumlahan.

DFT merujuk pada suatu transformasi matematik bebas

atau tidak bergantung bagaimana transformasi tersebut

dihitung, sedangkan FFT merujuk pada satu atau beberapa

algoritma efesien untuk menghitung DFT.

Persamaan untuk DFT adalah:

NkjN

n

enxkX /21

0

)()( π−

=

∑= ................(7)

Maka persamaan untuk IDFT adalah:

Nkj

N

n

ekXN

nx/2

1

0

)(1

)( π∑−

=

= ................(8)

Persamaan DFT yang lain:

nk

N

N

n

WnxkX ∑−

=

=

1

0

)()( ………....(9)

Page 6: DESAIN DAN IMPLEMENTASI ORTHOGONAL FREQUENCY DIVISION MULTIPLEXING (OFDM) PADA FIELD PROGRAMMABLE GATE ARRAY (FPGA)

Nilai nk

NW sebagai berikut:

Nkjnk

N eW/2π−

= ....……....(10)

m

S

nk

N WW = …....…....(11)

Maka nilai nk

NW dapat ditulis:

Snjnk

N eW /2π−=

……..…....(12)

SnjSnWnk

N /2sin/2cos ππ += ........(13)

Dimana :

X(k) = keluaran DFT pada k

k = titik keluaran DFT (mempunyai nilai 0 dari sampai

N-1)

N = jumlah dari sample points dalam data frame DFT

x(n) = masukan DFT pada n

n = titik masuakan DFT (mempunyai nilai 0 dari

sampai N-1)

S = jumlah titik dari FFT

m = titik ke-n dari FFT

Nilai dari persamaan tersebut disebut twiddle factor.

twiddle factor untuk N= 8 dapat dilihat pada Tabel 1

Tabel 1. Twiddle factor untuk N= 8 pada FFT( m

NW )

m m

SW

0 1

1 0,7071 – j0,7071

2 -j

3 -0,7071 - j0,7071

4 -1

5 -0,7071 + j0,7071

6 j

7 0,7071 + j0,7071

Tabel 2 Twiddle factor untuk N = 8 pada IFFT(m

SW −)

m m

SW −

0 1

1 0,7071 + j0,7071

2 j

3 -0,7071 + j0,7071

4 -1

5 -0,7071 - j0,7071

6 -j

7 0,7071 - j0,7071

Metode pembangun FFT DIT ini diimplementasikan

dalam single butterfly

Gambar 9. Diagram alir single butterfly

Dalam implementasi digital dari sebuah operasi FFT,

single butterfly diimplementasikan dalam unit jalur data.

Sebuah unit pengendali mengendalikan jalur data dan

menentukan stage.

Untuk FFT 8 titik, proses terakhir berada pada stage 3.

Gambar 10. Diagram alir FFT 8 titik

Maka persamaan akhir dari FFT 8 titik adalah:

Dengan menganbil nilai m

SW dari twiddle factor maka

didapat persamaan untuk FFT dan nilai m

SW− untuk IFFT

serta pada luarannya dibagi dengan banyaknya subchannel.

D. Field Programmable Gate Array (FPGA)

FPGA adalah komponen elektronika dan

semikonduktor yang mempunyai komponen gerbang

terprogram (programmable logic) dan sambungan

terprogram. Komponen gerbang terprogram yang dimiliki

meliputi jenis gerbang logika biasa (AND, OR, XOR,

NOT, EQV) maupun jenis fungsi matematis dan

kombinatorik yang lebih komplek (decoder, adder,

subtractor, multiplier, dll). Blok-blok komponen di dalam

FPGA bisa juga mengandung elemen memori (register)

mulai dari flip-flop sampai pada Random Access Memory

(RAM).

Beberapa kelebihan dari FPGA antara lain adalah

1. Harga yang murah.

2. Dapat diprogram mengikuti kebutuhan.

3. Tidak memerlukan proses Fabrikasi.

4. kemampuan untuk di program kembali untuk

mengkoreksi adanya bugs.

Gambar 11. Penggolongan IC CMOS

Page 7: DESAIN DAN IMPLEMENTASI ORTHOGONAL FREQUENCY DIVISION MULTIPLEXING (OFDM) PADA FIELD PROGRAMMABLE GATE ARRAY (FPGA)

1. Arsitektur FPGA Secara umum arsitektur bagian dalam dari IC FPGA

terdiri atas tiga elemen utama yaitu: Input/Output Blok

(IOB), Configurable Logic Block (CLB) dan

interconnection.

1. Input/Output Block :

Sebagai interface antara external package pin dari

device dan internal user logic.

2. Configurable Logic Blocks

Berisi Look up table (LUT) yang berbasis struktur

yang komplek dan implementasi dari rangkaian

skuensial

3. Interconnection

Berisi wire segments dan programmable switches dan

menghubungkan antar Configurable Logic Blocks

yang berbeda

FPGA mempunyai sekumpulan CLB yang tersusun

dalam array dengan diselang-selingi switch yang dapat

mengatur-ulang interkoneksi antar logic block. Tiap logic

block dapat diprogram untuk melakukan sebuah fungsi

logika (seperti AND, OR, XOR, dsb.) dan kemudian

switch juga dapat diprogram untuk menghubungkan blok-

blok sehingga fungsi logika yang lengkap dapat dilakukan.

Gambar 12. Arsitektur FPGA

Fungsi logika dan interkoneksi FPGA ditentukan oleh

data yang tersimpan pada sel memori statik internal. Ada

beberapa cara untuk membuat data konfigurasi ke dalam

sel memori ini, baik yang dilakukan secara otomatis pada

waktu catu daya diberikan maupun dengan membaca

konfigurasi data dari eksternal Serial atau byte Parallel

PROM (mode master) atau Data dapat dituliskan pada

FPGA dari eksternal device ( mode slave dan peripheral).

2. Proses Implementasi FPGA

FPGA diimplementasikan dengan ISE WebPACK

10.1, ada beberapa langkah dalam implementasi fpga ini,

yaitu :

1. Design Entry, dapat dilakukan dengan cara schematic

capture program atau VHDL / Verilog.

2. Logic Optimization, yaitu memodifikasi Boolean

Expression untuk mengoptimalkan penggunaan Logic

berbasis area dan kecepatan atau kombinasi keduanya.

3. Mapping, yaitu transformasi dari Boolean Expression

atau VHDL kedalam bentuk rangkaian pada FPGA

logic blocks (Area optimization – meminimalkan

penggunaan block dan Delay optimization –

meminimalkan jumlah stage pada critical

path). 4. Placement, yaitu penempatan blok-blok logika pada

array FPGA.

5. Routing software, yaitu mengatur FPGA wire

segments dan menentukan programmable switches

untuk menghubungkan antar FPGA blocks,

memastikan 100% connections telah terbentuk, dan

meminimalkan propagation delay pada time-critical

connections.

6 Programming unit, yaitu Mengkonfigurasi chip

setelah placement dan routing step.

Keseluruhan proses memakan waktu antara beberapa

menit sampai beberapa jam.

E. Very High Speed Integrated Circuit Hardware

Description Language (VHDL)

Very high speed integrated circuit Hardware

Description Language (VHDL) adalah sebuah bahasa

program yang digunakan untuk mendesain dan melakukan

modelling dari suatu sistem perangkat keras digital, circuit

board, dan komponen. Sebagai bahasa program, VHDL

sudah distandarkan dalam bentuk IEEE standar no 1076-

1987.

1. Unit Desain Dasar VHDL Dalam VHDL, dikenal istilah design entity. Design

entity merupakan black box sebuah sistem dalam versi

VHDL. Design entity mendefinisikan bagaimana sebuah

sistem yang dirancang dapat berinteraksi dengan dunia

luar. Design entity merupakan unit dasar dalam

mendeskripsikan suatu perangkat keras. Secara umum,

design entity ini terdiri atas dua bagian, yaitu entity

declaration dan architecture body. Hubungan keduanya

dapat digambarkan sebagai berikut:

Gambar 13. Unit design dasar VHDL

a. Entity Declaration

Entity secara sederhana memuat daftar berbagai

masukan dan luaran dari sebuah rangkaian. Entity

declaration digunakan sebagai penghubung antara

design entity dan sistem diluar design entity.

b. Architecture

Architecture mendefinisikan apa yang dikerjakan oleh

rangkaian tersebut. Dengan kata lain, architecture

VHDL menjelaskan implementasi internal dari entity

terkait. Bisa terdapat beberapa architecture yang

menggambarkan sebuah entity.

2. Paradigma Pemrograman VHDL

Tidak seperti bahasa program pada umumnya,

misalnya C atau Pascal, dimana eksekusi untuk setiap

statement dilakukan satu-persatu dan dengan suatu

aturan tertentu. Di dalam architecture VHDL tidak

terdapat aturan tertentu (kecuali dalam statement

process, yang eksekusinya dilakukan secara

sekuensial) dalam melakukan peng-assign-an

statement. Perintah eksekusi akan dilakukan jika

Page 8: DESAIN DAN IMPLEMENTASI ORTHOGONAL FREQUENCY DIVISION MULTIPLEXING (OFDM) PADA FIELD PROGRAMMABLE GATE ARRAY (FPGA)

terjadi perubahan pada sinya-sinyal dalam suatu

statement.

3. Arsitektur Standar dalam VHDL

Ada 3 pendekatan berbeda dalam menuliskan

arsitektur VHDL. Ketiga pendekatan tersebut adalah

dataflow, struktural dan behavioral.

a. Arsitektur Dataflow.

Deskripsi pendekatan dataflow sama dengan

bahasa transfer register (Register Transfer Language).

Fungsi dari rangkaian dijelaskan dengan

mendefinisikan aliran informasi dari satu register

(input) ke register lain (output). Pendekatan ini

memperlihatkan bagaimana input dan output dari

primitive component yang berada di dalam terhubung

bersama.

b. Arsitektur Strucktural

Metode ini sama saja dengan representasi

skematik, karena hubungan tiap komponen

diperlihatkan.

c. Arsitektur Behavioral

Pendekatan arsitektur behavioral menjelaskan

tingkah laku (behavior) fungsional rangkaian yang

dirancang, serta respon rangkaian terhadap berbagai

sinyal masukan. Tingkah laku rangkaian diterangkan

secara algoritmik tanpa memperlihatkan bagaimana

strukturnya diimplementasikan. Arsitektur behavioral

biasa menggunakan process statement.

F. Xilinx ISE Design Suite 10.1

Xilinx ISE Design Suite 10.1 atau yang dikenal

dengan ISE WebPACK 10.1 ini adalah sebuah Integrated

Software Environment yang dibuat oleh perusahaan Xilinx

untuk mendesain dan memprogram chip FPGA atau CPLD

yang dikeluarkan oleh perusahaan Xilinx.

.

Gambar 14. ISE WebPACK 10.1 jendela project

navigator

G. ModelSim Xilinx Edition (XE) III 6.3c

ModelSim ini merupakan software Mentor Graphics

pertama yang mengkombinasikan teknologi single kernel

simulator (SKS) dengan sebuah unified debug environment

untuk bahasa pemrograman Verilog, VHDL, atau C.

ModelSim XE III 6.3c ini terintegrasi dengan software

ISE WebPACK 10.1, sehingga desain FPGA atau CPLD

yang dibuat pada software ISE WebPACK bisa langsung

ditampilkan pada software ModelSim ini dalam bentuk

simulasi sinyal digital dari masukan dan luaran desain

yang dibuat.

Gambar 15. Jendela utama ModelSim XE III 6.3c

H. Xilinx Spartan-3E Starter Kit

Xilinx Spartan-3E Starter Kit ini merupakan modul

yang dikhususkan untuk pengembangan dari chip FPGA

keluarga Spartan-3E. Chip FPGA sudah terintegrasi

dengan bermacam-macam alat dalam modul ini yaitu:

1. Xilinx platform flash.

2. 50 MHz crystal clock oscillator.

3. 128 Mbit parallel flash, 16 Mbit SPI flash, 64 Mbyte

DDR SDRAM.

4. Ethernet 10/100 Phy.

5. JTAG USB download.

6. 2 port serial RS-232 dan 1 port PS/2 mouse/keyboard.

7. Rotary encoder /w push button, 4 slides switch, 8 LED

output, 4 momentary- contact push button.

8. 100-pin hirose expansion connection port dan 3 buah 6-

pin expansion connector.

9. LCD 16 character x 2 line.

Modul ini juga memiliki chip CPLD CoolRunner-II.

Gambar 16. Xilinx Spartan-3E Starter Kit

J. Serial To Parallel Converter

Serial To Parallel Converter atau Serial In parallel

Out merupakan sebuah pengkonversi data masukan serial

menjadi data luaran paralel. Pada pengkonversi ini terdapat

register untuk menyimpan data masukan serial lalu

mengeluarkan data tersebut secara bersama-sama sehingga

data tersebut menjadi data paralel.

Page 9: DESAIN DAN IMPLEMENTASI ORTHOGONAL FREQUENCY DIVISION MULTIPLEXING (OFDM) PADA FIELD PROGRAMMABLE GATE ARRAY (FPGA)

K. Parallel To Serial Converter

Parallel To Serial Converter atau Parallel In Serial

Out ini merupakan sebuah pengkonversi yang mirip

dengan pengkonversi serial ke paralel hanya saja data

masukan berupa data paralel dan data luaran berupa data

serial.

III. METODE PENELITIAN

A. Waktu dan Tempat Penelitian

Penelitian dilakukan dari bulan September 2008

sampai Desember 2008, bertempat di Laboratorium Teknik

Digital yang merupakan bagian dari Laboratorium Terpadu

Teknik Elektro, Jurusan Teknik Elektro, Universitas

Lampung

B. Alat dan Bahan

Alat dan bahan penelitian mencakup berbagai

instrumen, komponen, perangkat kerja serta bahan-bahan

yang digunakan dalam proses penelitian, di antaranya:

Peralatan:

1. Komputer Pribadi (PC)

2. Power Supply

3. Kabel penghubung universal serial bus (USB)

komputer dengan starter kit

4. Digital Probe

5. Multimeter digital

6. Kabel penghubung

Bahan-bahan:

1. Xilinx Spartan 3E XC3S500E stater kit

2. Xilinx ISE Design Suite 10.1

3. ModelSim Xilinx Edition III 6.3c

C. Prosedur Kerja

Dalam penyelesaian tugas akhir ini ada beberapa

langkah kerja yang dilakukan untuk mencapai hasil akhir

yang diinginkan, diantaranya:

1. Studi Literatur

Dalam studi literatur dilakukan pencarian informasi

mengenai segala sesuatu yang berkaitan dengan sistem

OFDM, FPGA, dan VHDL diantaranya adalah:

a. Manual Xilinx Spartan 3E XC3S500E stater kit.

b. Tutorial FPGA

c. Karya ilmiah tentang OFDM

d. Prinsip dasar modulasi digital BPSK.

e. Prinsip dasar multiplexing FFT dan IFFT.

f. Bahasa pemrograman Very High Speed Integrated

Circuit Hardware Description Language (VHDL).

Studi literatur dilakukan dengan cara mencari dan

mempelajari bahan-bahan ajar dari buku-buku dan internet.

2. Perancangan Blok Diagram Sistem OFDM Perancangan blok diagram ini dilakukan agar

mempermudah dalam merealisasikan sistem yang akan

dibuat. Tahap ini berisi perancangan terhadap sistem

OFDM, dimana pada OFDM Transmitter yang terdiri dari

Serial to paraler converter, modulator BPSK, multiplexing

IFFT, Paralel to serial converter.

Gambar 17. Blok Diagram Transmitter OFDM

Dan pada dimana pada OFDM Receiver yang terdiri

dari Serial to paraller converter, demodulator BPSK,

multiplexing FFT, Parallel to serial converter.

Gambar 18. Blok Diagram Receiver OFDM

3. Pembuatan Desain OFDM

Setelah membuat blok diagram maka dibuat desain

dengan menggunakan software Xilinx ISE Design Suite

10.1 serta bahasa pemrogramanan VHDL untuk tiap blok

diagram.

Gambar 19. Tampilan Software Xilinx ISE Design Suite

10.1

a. Modulator Binary Phase Shift Keying (BPSK)

Untuk modulator BPSK terdiri dari dua modul,

Modul ini disebut juga mapped (pemetaan) karena

memetakan data masukan serial binary digits menjadi

data complex symbol steam.

Gambar 20. Desain Modulator BPSK

b. Demodulator Binary Phase Shift Keying (BPSK)

Untuk demodulator BPSK terdapat dua modul

pembalik dari modulator BPSK, modul ini disebut

juga demapped karena memetakan kembali data

complex symbol steam menjadi data masukan serial

binary digits.

Gambar 21. Desain Demodulator BPSK

Page 10: DESAIN DAN IMPLEMENTASI ORTHOGONAL FREQUENCY DIVISION MULTIPLEXING (OFDM) PADA FIELD PROGRAMMABLE GATE ARRAY (FPGA)

c. Serial to Parallel Converter

Data yang masuk ke FPGA adalah data serial

harus diubah menjadi data paralel agar bisa diproses

pada FFT/ IFFT 8 titik. Data masukan serial dan data

keluaran paralel 8 bit.

Gambar 22. Desain Serial to Paralel Converter

d. Parallel to Serial Converter

Data yang luaran FFT/ IFFT 8 titik adalah data

paralel dimana tiap titiknya terdapat 8 bit nilai luaran

sehingga total nilai luaran ini adalah 8 x 8 bit, data

serial 64 bit.

Gambar 23. Desain Paralel to Serial Converter

d. FFT

Pada modul ini terjadi perhitungan complex

symbol steam dan perubahan data dari time domain

sample menjadi frequency domain sample.

Tabel 3. Persamaan akhir FFT 8 titik

Gambar 24. Desain Fast Fourier Transform

d. IFFT

Pada modul ini terjadi perhitungan complex

symbol steam dan perubahan data dari frequency

domain sample menjadi time domain sample. Modul

IFFT ini digunakan untuk membuat simbol OFDM

Tabel 4. Persamaan akhir IFFT 8 titik

Gambar 25. Desain Invers Fast Fourier Transrorm

4. Uji Coba Sistem Uji coba ini dilakukan untuk mengetahui tingkat

keberhasilan dari sistem yang telah dibuat. Adapun hal-hal

yang diuji cobakan sebagai berikut:

a. Uji coba sistem dengan software simulator

Setelah masing-masing modul didesain maka

dilakukan simulasi untuk melihat luaran dari masing-

masing modul tersebut apakah sudah sesuai dengan

hasil perhitungan, jika tidak sama maka dicari letak

kesalahan dan diperbaiki desain tersebut lalu

disimulasikan kembali, jika hasil simulasi sudah

sesuai dengan nilai perhitungan maka modul-modul

tersebut digabungkan menjadi satu sistem OFDM

transmitter dan OFDM receiver.

Gambar 26. Tampilan Software Simulasi ModelSim XE III

6.3c

b. Uji coba sistem pada FPGA

Setelah disimulasikan pada software dan tidak

ada masalah maka desain tersebut di-download ke

chip FPGA, setelah selesai lalu diberikan masukan

nilai dari push button dan luaran dihubungkan pada

lampu led, jika lampu led menyala luaran dari FPGA

berarti desain sudah berhasil di-download pada chip

FPGA

Page 11: DESAIN DAN IMPLEMENTASI ORTHOGONAL FREQUENCY DIVISION MULTIPLEXING (OFDM) PADA FIELD PROGRAMMABLE GATE ARRAY (FPGA)

5. Analisis dan Simpulan Analisis dilakukan dengan cara mengambil data dari

hasil simulasi masing-masing modul lalu dibandingkan

dengan data yang didapat dengan cara perhitungan oleh

software Microsoft Office Excel 2003 dan data untuk FFT

dan IFFT dibandingkan juga dengan hasil perhitungan

yang didapat dengan software Matlab, penulis mengambil

data FFT dan IFFT dengan software Matlab tersebut dari

master tesis saudara Kazamu Adzha Bin Kadiran. Data-

data tersebut dianalisis untuk kemudian dilakukan

pengambilan kesimpulan.

6. Pembuatan Laporan

Akhir dari tahap penelitian ini adalah pembuatan

laporan dari semua kegiatan penelitian yang telah

dilakukan.

Secara umum urutan pekerjaan yang dilakukan

dalam penelitian ini dapat dijelaskan secara sistematis

dalam diagram alir pada gambar 27.

Gambar 27. Diagram Alir Penelitian

IV. HASIL DAN PEMBAHASAN

A. Modul Modulasi BPSK

Gambar 28. Hasil simulasi modul modulasi BPSK

Gambar 28 menampilkan hasil simulasi modulasi

BPSK, pada gambar terlihat glitch nilai undefine yang

terjadi di awal luaran modul ini, glitch nilai undefine ini

terjadi selama sebelum rising edge kedua dari sinyal clock

yang merupakan penjumlahan dari dua glitch undefine dari

dua sub-modul yang terdapat pada modul modulasi ini.

Jumlah komponen digital yang digunakan dalam modul ini

dapat dilihat pada tabel 5.

Tabel 5. Estimasi jumlah komponen digital untuk modulasi

BPSK

B. Modul Demodulasi BPSK

Gambar 29. Hasil simulasi modul demodulasi BPSK

Gambar 29 menampilkan hasil simulasi demodulasi

BPSK. Pada modul ini terdapat tiga glitch yaitu undefine,

nilai high, dan delay. Glitch undefine terjadi karena awal

luaran sebelum rising edge sinyal clock, glitch nilai high

terjadi karena pada program sub-modul pertama akan

merubah nilai masukan 0 menjadi 01 dan nilai selain 0

akan dirubah menjadi 11, sehingga nilai undefine tersebut

akan dirubah menjadi nilai 11 lalu pada sub-modul kedua

nilai 11 dirubah menjadi 1Jumlah komponen digital yang

digunakan dalam modul ini dapat dilihat pada tabel 6.

Tabel 6. Estimasi jumlah komponen digital untuk

demodulasi BPSK

C. Modul Serial to Parallel Converter

Gambar 30. Hasil simulasi modul serial to parallel

converter

Page 12: DESAIN DAN IMPLEMENTASI ORTHOGONAL FREQUENCY DIVISION MULTIPLEXING (OFDM) PADA FIELD PROGRAMMABLE GATE ARRAY (FPGA)

Gambar 30 terlihat hasil simulasi serial to parallel

converter, terlihat data masukan serial pada 50 ns akan

dikeluarkan pada 6.450 ns, ini terjadi karena data serial

yang masuk pada modul ini akan disimpan pada register 64

bit dahulu, dimana dibutuhkan 64 rising edge dari sinyal

clock untuk mengisi register tersebut hingga penuh lalu

dikeluarkan sehingga delay pada modul ini terjadi 64 clock

atau 6.400 ns. Jumlah komponen digital yang digunakan

dalam modul ini dapat dilihat pada tabel 7.

Tabel 7. Estimasi jumlah komponen digital untuk serial to

parallel converter

D. Modul Parallel to Serial Converter

Gambar 31. Hasil simulasi modul parallel to serial

converter

Gambar 31 terlihat hasil simulasi parallel to

converter, terlihat data masukan paralel pada channel

pertama (10101010) menjadi luaran serial 8 bit pertama

(10101010) dan masukan pada channel terakhir

(00101010) menjadi luaran serial 8 bit terakhir

(00101010). Pada modul ini tidak terdapat delay atau

nilainya sangat kecil sekali karena data masukan pada 150

ns akan dikeluarkan pada waktu yang sama. Jumlah

komponen digital yang digunakan dalam modul ini dapat

dilihat pada tabel 8.

Tabel 8. Estimasi jumlah komponen digital untuk parallel

to serial converter

E. Modul Fast Fourier Transform .

Gambar 32. Hasil simulasi modul FFT

Pada gambar di atas terlihat hasil simulasi FFT yang

sesuai nilainya dengan hasil perhitungan yang terdapat

pada tabel. Karena bilangan biner tidak dapat

menampilkan nilai negatif (-) maka luaran FPGA dibuat

2’s complement yang merepresentasikan nilai positif dan

negatif, dimana bila MSB dari bit tersebut 0 maka data

tersebut bernilai positif dan bila 1 maka data tersebut

bernilai negatif. Jumlah komponen digital yang digunakan

dalam modul ini dapat dilihat pada tabel 9.

Tabel 9. Estimasi jumlah komponen digital FFT

Pada tebel di atas terlihat bahwa pada modul FFT ini

terlalu banyak menggunakan IOB sehingga tidak bisa

disimulasikan untuk tipe FPGA spartan-3E dengan 500

ribu gerbang logika, untuk itu perlu dirubah tipe FPGA

dengan IOB yang lebih banyak untuk mendapatkan hasil

simulasi modul ini. Penulis menggunakan tipe FPGA

Spartan-3E dengan 1,2 juta gerbang logika untuka

mendapatkan hasil simulasi pada gambar 70.

Page 13: DESAIN DAN IMPLEMENTASI ORTHOGONAL FREQUENCY DIVISION MULTIPLEXING (OFDM) PADA FIELD PROGRAMMABLE GATE ARRAY (FPGA)

F. Modul Invers Fast Fourier Transform

Gambar 33. Hasil simulasi modul IFFT

Pada gambar di atas terlihat hasil simulasi FFT yang

sesuai nilainya dengan hasil perhitungan yang terdapat

pada tabel. Karena bilangan biner tidak dapat

menampilkan nilai negatif (-) maka luaran FPGA dibuat

2’s complement yang merepresentasikan nilai positif dan

negatif, jika bit MSB pada nilai tersebut bernilai 0 maka

nilai tersebut positif dan jika bit tersebut bernilai 1 maka

nilai tersebut negatif.

Tabel 10. Estimasi jumlah komponen digital untuk IFFT

F. Modul Sistem Transmitter OFDM

Transmitter OFDM ini merupakan penggabungan dari

modul modulasi BPSK, modul serial to parallel converter,

modul IFFT, dan modul parallel to serial converter.

Gambar 34. Hasil simulasi modul transmitter OFDM

Pada gambar di atas terlihat hasil simulasi dari modul

transmitter OFDM, glitch undefine ini terjadi selama 6.850

ns atau sebelum rising edge ke 68 dari sinyal clock, glitch

ini merupakan penjumlahan dari glitch pada modulasi

BPSK, modul serial to parallel converter, modul IFFT,

dan modul parallel to serial converter. Delay pada modul

ini terjadi selama 6.700 ns yang berasal dari delay modul

modulasi sebesar 100 ns, modul serial to parallel

converter sebesar 6.400 ns, modul IFFT sebesar 100 ns,

dan modul parallel to serial converter sebesar 100 ns.

Tabel 11. Estimasi jumlah komponen digital untuk

transmitter OFDM

G. Modul Sistem Receiver OFDM

Receiver OFDM ini merupakan penggabungan dari

modul demodulasi BPSK, modul serial to parallel

converter, modul FFT, dan modul parallel to serial

converter.

Gambar 35. Hasil simulasi modul receiver

Pada gambar di atas terlihat hasil simulasi dari modul

transmitter OFDM dengan delay pada modul ini terjadi

selama 6.700 ns yang berasal dari delay modul demodulasi

sebesar 100 ns, modul serial to parallel converter sebesar

6.400 ns, modul FFT sebesar 100 ns, dan modul parallel to

serial converter sebesar 100 ns.

Tabel 12. Estimasi jumlah komponen digital untuk

receiver OFDM

V. SIMPULAN DAN SARAN

A. Simpulan

Dari serangkaian penelitian, pengujian, dan analisa

yang telah dilakukan dapat disimpulkan bahwa:

1. Modul serial to parallel converter telah berhasil

dirancang dengan menggunakan arsitektur Behavioral

dan modul tersebut tersusun dari 79 slices, 134 slice

flip-flops, 76 LUT, 67 bonded IOBs, dan 1 GCLKs.

Page 14: DESAIN DAN IMPLEMENTASI ORTHOGONAL FREQUENCY DIVISION MULTIPLEXING (OFDM) PADA FIELD PROGRAMMABLE GATE ARRAY (FPGA)

2. Modul parallel to serial converter telah berhasil

dirancang dengan menggunakan arsitektur Behavioral

dan modul tersebut tersusun dari 45 slices, 64 slice

flip-flops, 80 LUT, 66 bonded IOBs, dan 1 GCLKs.

3. Modul Fast Fourier Transform telah berhasil

dirancang dengan menggunakan arsitektur Behavioral

dan struktural, serta modul tersebut tersusun dari 1068

slices, 2044 LUT, 258 bonded IOBs, 20

MULT18X18SIOs dan 1 GCLKs.

4. Modul Invers Fast Fourier Transform telah berhasil

dirancang dengan menggunakan arsitektur Behavioral

dan struktural, serta modul tersebut tersusun dari 589

slices, 1050 LUT, 194 bonded IOBs, 16

MULT18X18SIOs dan 1 GCLKs.

5. Dalam desain FFT dan IFFT dengan lebar data 8 bit

pada channel maka tingkat kesalahan data yang terjadi

lebih kecil dari pada dengan lebar data 3 bit, sehingga

semakin besar lebar data maka semakin kecil tingkat

kesalahan data yang terjadi.

6. Modul modulasi BPSK, Serial to Parallel Converter,

Fast Fourier Transform, dan Parallel to Serial

Converter telah berhasil digabungkan dalam satu

sistem dengan menggunakan arsitektur struktural dan

berhasil diimplementasikan dalam chip FPGA dengan

menggunakan kurang lebih 20 % komponen digital

yang terdapat dalam chip FPGA

7. Modul demodulasi BPSK, Serial to Parallel

Converter, Invers Fast Fourier Transform, dan

Parallel to Serial Converter telah berhasil

digabungkan dalam satu sistem dengan menggunakan

arsitektur struktural dan berhasil diimplementasikan

dalam chip FPGA dengan menggunakan kurang lebih

28 % komponen digital yang terdapat dalam chip

FPGA

B. Saran

Untuk memberikan masukan dalam penelitian

berikutnya berikut ini merupakan saran-saran yang perlu

diperhatikan :

1. Menggunakan modulasi QPSK atau QAM untuk dapat

menggunakan bandwidth yang lebih efisien lagi.

2. Membuat modul untuk dua data luaran (real dan

imaginer) transmitter dan receiver menjadi satu data

luaran.

3. Menggunakan chip FPGA yang berdiri sendiri (stand

alone) sehingga dapat mengunakan input – output

secara optimal.

4. Untuk melihat hasil luaran dalam bentuk sinyal analog

sebaiknya digunakan perangkat tambahan DAC.

DAFTAR PUSTAKA

Adzha, Kamuru.2005. Design and Implementation of

OFDM Transmitter And Receiver on FPGA

Hardware. Universiti Teknologi Malaysia,

Malaysia.

(eprints.utm.my/4712/1/KamaruAdzhaKadiranKPF

KE2005TTT.pdf diakses 18 Nopember 2008, Pukul

16:55 WIB)

Cheng, L K. 2004. Design Of An OFDM Transmitter And

Receiver Using FPGA. Universiti Teknologi

Malaysia, Malaysia

Haslina. 2007. Implementation Fast Fourier Transform

Using VHDL. Universiti Teknologi Malaysia,

Malaysia.

(psm.fke.utm.my/libraryfke/files/54_HASLINABI

NTIZAINOLABIDIN2007.pdf diakses 13 Juli

2008 pukul 11.29 WIB)

Ilunga, Lou I. 2005. Adaptive, Turbo-coded OFDM.

Virginia Polytechnic Institute and State University,

United State of America.

(scholar.lib.vt.edu/theses/available/etd-08162005-

101502/unrestricted /ETDF diakses 9 juli 2008

pukul 22.36 WIB)

Jarot, S P W. 1999. Mengenal Teknologi Frequency

Division Multiplexing (OFDM) pada Komunikasi

Wireless. Elektro Indonesia.

(www.elektroindonesia.com/elektro/tel24.html

diakses 17 Mei 2008 pukul 13.14 WIB)

Langton, Charan. 2004. Orthogonal Frequency Division

Multiplexing (OFDM).

(http://www.complextoreal.com/tutorial.html

diakses 26 Agustus 2008, Pukul 11:07 WIB)

Malvino, Albert Paul. 1993. Elektronika Komputer

Digital: Pengantar Mikrokomputer. Erlangga,

Jakarta

Mealy, Bryan. 2004. The Low-Carb VHDL Tutorial.

(ece.gmu.edu/courses/ECE545/viewgraphs_F04/lo

Carb_VHDL_small.pdf diakses 15 Mei 2008 pukul

1.10 WIB)

Panell, Karen and Nick Mehta. 2004. Programmable Logic

Design Quick Start HandBook. Xilinx, United State

of America.

Samuel, Decky. 2004. Verifikasi Radix-4 Pipelined 16-

point Complex FFT CORE Dengan Menggunakan

HOL Theorem Proving. Institut Teknologi

Bandung, Bandung.

Stallings, William. Komunikasi Data dan Komputer:

Dasar-dasar Komunikasi Data. Salemba Teknika,

Jakarta

Susanty, Yunita. 2007. Rancang Bangun Sistem Enkripsi

Sebagai Security Komunikasi Handie-Talkie (HT)

Menggunakan Mikrokontroler AVR Seri

ATMEGA8535. Universitas Lampung, Bandar

Lampung

Wikipedia. 2008. Orthogonal frequency-division

multiplexing.

(http://en.wikipedia.org/wiki/OFDM.html diakses 1

Juli 2008 Pukul 11.48 WIB)

Xilinx. 2008. Spartan-3 Generation FPGA User Guide.

Xilinx, United State of America

My Email

[email protected]

[email protected]