tugas mandiri arsitektur dan organisasi komputer

18
TUGAS MANDIRI ARSITEKTUR DAN ORGANISASI KOMPUTER ANALISIS JURNAL ILMIAH Nama : Asep Jaenudin NPM : 120210034 Kode Kelas : 122-TI015-M2 Dosen : Nia Ekawati, S.Kom., M.S.I.

Upload: asep-jaenudin

Post on 21-Jan-2015

3.440 views

Category:

Education


0 download

DESCRIPTION

Makalah Tugas Mandiri Arsitektur dan Organisasi Komputer

TRANSCRIPT

Page 1: Tugas Mandiri arsitektur dan organisasi komputer

TUGAS MANDIRI

ARSITEKTUR DAN ORGANISASI

KOMPUTER

ANALISIS JURNAL ILMIAH

PROGRAM STUDI TEKNIK INFORMATIKA

UNIVERSITAS PUTERA BATAM

2013

Nama : Asep Jaenudin

NPM : 120210034

Kode Kelas : 122-TI015-M2

Dosen : Nia Ekawati, S.Kom., M.S.I.

Mata Kuliah : Arsitektur dan Organisasi Komputer

Page 2: Tugas Mandiri arsitektur dan organisasi komputer

KATA PENGANTAR

Puji syukur terhadap kehadirat Allah Yang Maha Esa berkat rahmat dan

hidayah-Nya penulis dapat menyelesaikan salah satu Tugas Mandiri (sebuah

review/analisis terhadap jurnal ilmiah yang berjudul “MULTIPLEKSER

BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)”, karya Muhammad

Irmansyah, Staf Pengajar Teknik Elektro Politeknik Negeri Padang.

Adapun maksud dilaksanakannya penulisan analisis ini, tidak lain adalah

untuk memenuhi salah satu tugas pada mata kuliah Arsitektur dan Organisasi

komputer, sehingga diharapkan kita akan lebih memahami tentang sistem

rangkaian digital pada multiplekser yang berbasis Programmable Logic Device

(PLD) dalam perancangan sebuah arsitektur teknologi Informasi.

Ucapan terima kasih penulis sampaikan kepada Ibu Nia Ekawati, selaku

Dosen mata kuliah Arsitektur dan Organisasi komputer di Universitas Putera

Batam yang telah memberikan penjelasan terkait materi Tugas Mandiri ini. Serta

kepada orangtua yang telah memberi dukungan baik secara moril dan materiil,

dan kepada teman-teman serta pihak-pihak lain yang tidak dapat disebutkan oleh

penulis. Penulis menyadari bahwa tulisan ini masih jauh dari kesempurnaan.

Untuk itu, sudilah kiranya para pembaca memberikan masukan dan saran

sehingga isi makalah ini dapat lebih sempurna. Dan sebelumnya penulis

memohon ma’af yang sebesar-besarnya jika ada kesalahan penulisan atau bahasa

yang kurang baku dalam Penulisan makalah ini.

Akhirnya penulis berharap semoga tulisan ini dapat memberikan manfaat

bagi siapa saja yang memerlukannya di masa yang akan datang.

Batam, 6 Juni 2013

Penulis

Page 3: Tugas Mandiri arsitektur dan organisasi komputer

REVIEW JURNAL ILMIAH

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE

(PLD)

Karya: Muhammad Irmansyah

Staf Pengajar Teknik Elektro Politeknik Negeri Padang

A. PENDAHULUAN

Beberapa tahun terakhir, perkembangan teknologi elektronika berlangsung

sangat cepat. Sebagian orang tidak hanya menyebutnya sebagai proses evolusi

tetapi lebih tepat disebut sebagai revolusi teknologi. Permulaan proses ini ditandai

dengan dikembangkannya piranti elektronika disebut sebagai “transistor”. Piranti

ini berdemensi kecil, sebagai penguat dengan power rendah menggantikan

generasi teknologi tabung yang kemudian ditinggalkan.

Pemikiran awal pada saat itu adalah bagaimana membuat komponen-

komponen elektronika dari bahan semikonduktor ini agar berdimensi kecil dan

lebih kompak. Jawaban dari pertanyaan ini adalah munculnya pemikiran

dibuatnya rangkaian semikonduktor terintegrasi. Kemudian bahan semikonduktor

seperti silikon dan germanium mulai diekploitasi untuk membuat transistor.

Resistansi semikonduktor itu sendiri bersama dengan kapasitansi sambungan pun

dapat dikombinasi dengan transistor pada semikonduktor yang sama untuk

menghasilkan transistor secara lengkap

Perkembangan selanjutnya didukung dengan adanya teknik untuk

mendifinisikan komponen elektronika disebut sebagai “fotolitografi” dan

dikembangkannya proses difusi untuk memasukkan impuritas membentuk

material tipe-p atau tipe-n. Dengan kedua teknologi ini transistor dapat dibuat

pada permukaan irisan silikon atau germanium yang disebut “wafer”, namun

dalam bentuk terpisah satu sama lainnya. Baru pada tahun 1959 antar komponen

transistor yang terpisah ini dan elemen yang lain dapat terhubung dan dibuat pada

permukaan wafer yang sama.

Akhirnya dengan semakin berkembangnya teknologi penumbuhan

material maupun pabrikasi piranti elektronika, dengan dimensi yang makin kecil

Page 4: Tugas Mandiri arsitektur dan organisasi komputer

mampu menampung jumlah komponen per-rangkaian semakin besar. Ukuran

jumlah komponen per rangkaian ini kemudian dikenal kelompok SSI (small-scale

integration), MSI (medium-scale integration), LSI (large-scale integration) dan

akhirnya nanti sampai pada VLSI (very large-scale integration),

B. PEMBAHASAN

Dalam Me-review/menganalisa jurnal di atas, Penulis akan menyoroti

beberapa kata kunci (keyword) yang terdapat di dalam jurnal tersebut. Kata kunci

(keyword) tersebut adalah: Multiplekser, Programmable Logic Device (PLD) dan

IC PAL 22V10.

1. Multiplekser

Multiplekser atau sering dikenal dengan singkatan MUX

merupakan alat atau komponen elektronika yang bisa memilih

input (masukan) yang akan diteruskan ke bagian output

(keluaran). Pemilihan input mana yang dipilih akan ditentukan

oleh signal yang ada di bagian kontrol (kendali) Select.

Komponen yang berfungsi kebalikan dari MUX ini disebut

Demultiplekser (DEMUX). Pada Demux, jumlah masukannya

hanya satu, tetapi bagian keluarannya banyak. Signal pada

bagian input ini akan disalurkan ke bagian output (channel) yang

mana tergantung dari kendali pada bagian selectnya.

Multiplekser merupakan rangkaian logika yang menerima

beberapa input data digital dan menyeleksi salah satu dari input

tersebut pada saat tertentu, untuk dikeluarkan pada sisi output.

Seleksi data-data input dilakukan oleh selector line, yang juga

merupakan input dari multiplexer tersebut. Blok diagram sebuah

multiplexer ditunjukkan pada gambar berikut.

Page 5: Tugas Mandiri arsitektur dan organisasi komputer

Secara sederhana MULTIPLEXER (MUX) dikatakan sebagai DATA

SELECTOR / pemilih data, mana yang akan dilewatkan dan mana yang akan

ditahan. MUX juga dapat dikatakan sebagai sebuah device digital yang memiliki

fungsi memilih salah satu dari sejumlah saluran input untuk ditransmisikan ke

satu output. Prinsipnya sama seperti saklar pemilih, dari 2n buah input dipilih

melalui n buah jalur pemilih (DATA SELECT), jalur mana yang akan disalurkan

ke output. Hal ini dapat digambarkan seperti pada gambar dibawah. 

MUX juga dilengkapi dengan ENABLE yang berfungsi untuk

mengaktifkan device.

Page 6: Tugas Mandiri arsitektur dan organisasi komputer

Dalam elektronik, telekomunikasi, dan jaringan komputer,

multipleksing adalah istilah yang digunakan untuk menunjuk ke

sebuah proses di mana beberapa sinyal pesan analog atau aliran

data digital digabungkan menjadi satu sinyal. Tujuannya adalah

untuk berbagi sumber daya yang mahal. Contohnya, dalam

elektronik, multipleksing mengijinkan beberapa sinyal analog

untuk diproses oleh satu analog-to-digital converter (ADC), dan

dalam telekomunikasi, beberapa panggilan telepon dapat

disalurkan menggunakan satu kabel.

Jenis-jenis Multiplekser (MUX) :

1. Mux inversi, dilengkapi path data antara komputer dan

mengambil jalur berkecepatan tinggi dan memisahkan

menjadi beberapa jalur yang berkecepatan rendah yang

akan dikombinasikan dengan mux inversi lain yang telah

tersambung dengan komputer lain.

2. Mux T-1, Mux khusus yang dikombinasikan dengan unit

pelayanan data berkapasitas tinggi yang mengoperasi-kan

ujung sambungan mux T-1 (sambungan komunikasi yang

bertransmisi pada 1,544 juta bps yang dibagi menjadi

sirkuit tingkat suara 24, 48, 96.

3. Mux multiport, mengkombinasikan modem dan peralatan

mux divisi waktu menjadi peralatan tunggal. Jalur input

modem mempunyai kecepatan transmisi beraneka ragam.

4. Mux Fiber Optik, berorientasi pada beberapa chanel data

dimana tiap channel bertransmisi pada 64000 bps per

channel dan melakukan multiplex pada channel menjadi 14

juta bps pada jalur fiber optik.

2. Programmable Logic Device (PLD)

Page 7: Tugas Mandiri arsitektur dan organisasi komputer

Berdasarkan namanya, konsep Programmable Logic Device  adalah

sebagai berikut :

1. Programmable, menunjukkan kemampuan dalam hal memori untuk

menyimpan program yang telah dibuat yang dengan mudah diubah-ubah

fungsi atau kegunaannya.

2. Logic, menunjukkan kemampuan dalam memproses input secara aritmatik

dan logic (ALU), yakni melakukan operasi membandingkan,

menjumlahkan, mengalikan, membagi, mengurangi, negasi, AND, OR,

dan lain sebagainya.

3. Device, merupakan piranti/perangkat digital untuk melakukan proses logic

dalam program perancangan sebuah perangkat keras (hardware) sehingga

menghasilkan spesifikasi yang diinginkan.

Programmable Logic Devices (PLDs) diperkenalkan pada pertengahan

tahun 1970-an. Konsep dasar dari PLD sendiri adalah bagaimana membuat sebuah

sirkuit logika kombinasional yang bersifat programmable (mampu diprogram).

Perlu diingat, sirkuit kombinasional merupakan sirkuit yang di dalamnya

tidak memiliki elemen memori. Hal ini berbeda dengan microprocessor yang

mampu menjalankan sebuah program, namun nantinya mempengaruhi perangkat

keras yang telah sudah ditentukan terlebih dahulu. Sedangkan kemampuan

pemrograman PLD sudah direncanakan pada tingkat perangkat keras.

Dengan kata lain, PLD adalah sebuah chip yang memiliki tujuan utama

agar nantinya mampu mengatur perangkat keras sedemikian rupa sesuai dengan

spesifikasinya.

Page 8: Tugas Mandiri arsitektur dan organisasi komputer

Programmable Logic Devices

Generasi PLD yang pertama dikenal dengan nama PAL (Programmable

Array Logic) atau PLA (Progrramable Logic Array), tergantung pada bentuk

skema pemrogramannya. PAL/PLA biasanya hanya menggunakan gerbang logika

(tidak ada flip flop), serta hanya memperbolehkan implementasi dari sebuah

sirkuit kombinasional saja. Untuk menyelesaikan masalah ini, maka dibuatlah

PLD yang telah memiliki sebuah flip-flop pada tiap output sirkuitnya. Dengan

demikian, fungsi sekuensial sederhana dapat diimplementasikan dengan baik

(bukan lagi hanya fungsi kombinasional saja).

Selanjutnya, pada awal tahun 1980-an, tambahan untaian logika

ditambahkan pada tiap-tiap output PLD. Output baru tersebut diberi nama

Macrocell yang diisi flip-flop, gerbang logika dan multiplekser. Selain itu,

Macrocell sendiri juga bersifat programmable. Apalagi pada cell tersebut

disediakan sinyal feedback yang berasal dari output sirkuit ke progrramable

array. Sinyal tersebut nantinya akan memberikan PLD tingkat fleksibilitas yang

lebih tinggi. Struktur baru dari PLD inilah yang kemudian secara umum diberi

nama PAL (GAL). Arsitektur yang serupa juga dikanal dengan sebutan PALCE

(PAL CMOS Electrically erasable/programmable). Semuanya (baik PAL, PLA,

PLD, maupun GAL/PALCE) secara umum kini lazim disebut sebagai SPLDs

(Simple PLDs).

Page 9: Tugas Mandiri arsitektur dan organisasi komputer

Macrocell

Berikutnya, beberapa perlangkapan GAL dibuat pada chip yang sama

dengan menggunakan penjaluran (routing) yang lebih canggih, menggunakan

teknologi silikon yang lebih rumit serta beberapa tambahan yang menjadi ciri

khas, seperti dukungan JTAG, dan antar muka untuk beberapa standar logika.

Pendekatan ini kemudian dikenal dengan nama CPLD (Complex PLD). CPLD

saat ini lebih terkenal karena kepadatan (density) yang tinggi, hasil yang

memuaskan, dan biaya yang cukup rendah (CPLD dapat dibeli dengan harga

kisaran 1 dolar saja).

CPLD

Akhirnya, pada pertengahan 1980-an, FPGA (Field Programmable Gate

Arrays) mulai diperkenalkan. FPGA berbeda dari CPLDs dari segi arsitektur,

teknologi, ciri khas serta dari segi biaya. FPGA utamanya ditujukan untuk

Page 10: Tugas Mandiri arsitektur dan organisasi komputer

implementasi yang membutuhkan ukuran besar besar, serta  untuk sirkuit yang

memiliki kemampuan tinggi.

Dari penjelasan singkat di atas, dapat disingkat sejarah evolusi PLD pada

tabel berikut :

Perlu diingat, semua jenis PLD (baik simpel atau kompleks) bersifat non-

volatile. Mereka semua bersifat OTP (One-time programmable) atau hanya sekali

pemrograman saja. PLD dapat bersifat reprogrammable (dapat diprogram ulang)

dengan menggunakan EEPROM atau Flash memory (pada umumnya, sekarang

menggunakan flash memory). Di sisi lain, FPGA bersifat volatile sehingga

digunakan SRAM untuk menyimpan koneksi. Selain itu, dibutuhkan konfigurasi

ROM untuk mengisi koneksi antara satu dengan yang lain saat dihidupkan daya

listrik.

3. IC PAL 22V10

Berbagai teknologi terpadu digunakan sebagai proses submicron,

semiconductor, teknologi PCB dan pemaksimalan penggunaan permukaan PCB.

Penunjang design digunakan oleh para designer berupa Electronic Design

Automation (EDA) tools. Kondisi pasar ini membuat metodologi modern dalam

design dan tes digunakan, antara lain Programmable Logic Device (PLD).

IC (Integrated Circuit) adalah suatu chip/media yang berisi berbagai

macam komponen elektronika yang terintegrasi dan terhubung satu dengan

lainnya sedemikian rupa untuk melaksanakan suatu fungsi tertentu. IC umumnya

berwarna hitam dengan kaki-kaki yang banyak sehingga kadang disebut dengan

komponen “kaki seribu”. Bila Anda pernah membuka casing komputer atau

peralatan elektronik lainnya dan melongok ke dalamnya, Anda akan melihat

banyak sekali benda segi panjang atau bujur sangkar berwarna hitam atau kadang

abu-abu dengan tulisan kode-kode tertentu di punggungnya, itulah yang

Page 11: Tugas Mandiri arsitektur dan organisasi komputer

dinamakan dengan IC. Satu IC dapat berisi ribuan bahkan jutaan komponen

elektronika seperti resistor, capacitor dan transistor.

Salah satu IC yang digunakan pada perancangan Programmable Logic

Device (PLD) ini adalah IC PAL22V10 yang terdiri dari 24 pin. IC ini dapat

diaplikasikan untuk rangkaian digital diantaranya adalah Gerbang Logika Decoder

dan Multiplexer. IC PAL22V10 diaplikasikan untuk Gerbang logika yang terdiri

dari gerbang AND, gerbang OR, gerbang NAND, gerbang NOR, dan gerbang

NOT, Decoder 3 ke 8 dan Multiplexer 4 ke 1.

Berikut ini merupakan contoh IC PAL22V10:

3. Kesimpulan

Dari hasil penelitian yang telah dilakukan oleh penulis jurnal, terdapat

kesimpulan bahwa dengan menggunakan IC PAL 22V10 dapat mengaplikasikan

teknologi Programmable Logic Device (PLD) untuk multiplekser 4 input 1 output

dan 2 selektor sebagai pengganti dari IC 74153.

Dengan demikian, IC PAL 22V10 yang merupakan Multiplekser 4 input 1

merupakan IC logic PLD (Programmable Logic Device). Kelebihan PLD adalah

sifatnya yang programable karena mengandung jenis dan jumlah gerbang lebih

banyak pada tiap-tiap chip nya. Pemakaian PLD dapat mengurangi jumlah chip

yang digunakan. Yang termasuk jenis IC PLD lainnya antara lain sebagai berikut:

PLA (Programmable Logic Array). Berisi sejumlah gerbang AND, OR,

NOT, yang masukan dan keluarannya dapat kita hubungkan sehingga

membentuk rangkaian yang diinginkan.

Page 12: Tugas Mandiri arsitektur dan organisasi komputer

PAL (Programmable AND-Array Logic)

GAL (Generic Array Logic)

PALCE (PAL Configurable and Erasable). Yang koneksinya dapat

diprogram dan dihapus berulang kali. GAL dan PALCE dilengkapi dengan

flip-flop yang memudahkan kita untuk menyusun rangkaian logika

sekuensial seperti Counter dan Shift Register.

FPGA (Field Programmable Gate Array). Merupakan jenis PLD terbaru

yang mulai populer saat ini. FPGA mempunyai beberapa kelebihan,

diantaranya adalah jenis dan jumlah gerbangnya yang sangat banyak

(ribuan hingga ratusan ribu). Kecepatannya sangat tinggi, mudah

diprogram dan dapat diprogram berkali-kali.

DAFTAR PUSTAKA

Willa, Lukas. (2010). TEKNIK DIGITAL MIKROPROSESOR DAN

MIKROKOMPUTER EDISI REVISI. Bandung: Informatika.

http://mesinisthebest.blogspot.com/2011/10/multiplekserdemultiplexercounter-

dan.html. Diakes pada tanggal 6 Juni 2013, pukul 13.47 WIB.

http://en.wikipedia.org/wiki/Programmable_logic_device. Diakes pada tanggal 6

Juni 2013, pukul 10.23 WIB.